首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 新思科技

新思科技 文章 进入新思科技技术社区

新思科技实现云上高度可扩展的时序signoff新流程

  • 亮点:PrimeTime时序signoff和StarRC提取,可显着提高在多场景、分布式处理运行中吞吐量通过云计算资源进行多场景分析与优化节省大量成本合著的白皮书已在台积公司网站开放下载,助力客户运行云上时序signoff流程新思科技(Synopsys, Inc., 纳斯达克股票代码:SNPS)今天宣布,与台积公司(TSMC)和微软的合作已经实现了一项突破性的、可高度扩展的云上时序signoff流程。三方通过长达数月的深度合作加速下一代片上系统(SoC)的signoff。通过在微软Azure平台上使用新思
  • 关键字: 新思科技  signoff  

新思科技联合台积公司提供N5和N6工艺认证解决方案

  • 与台积公司的战略合作带来了更高性能和超低功耗,并加快了下一代设计的进程加州山景城2020年6月23日 /美通社/ --摘要:新思科技的工具结合台积公司先进制程技术,共同为N5和N6制程的客户提供认证解决方案基于N5和N6制程技术认证的最新工具提供了更强的PPA采用经认证的时序和参数提取缩短了设计上市时间新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)今天宣布,其数字和定制设计平台已获得台积公司N6和N5制程技术认证。新思科技与台积公司的长期合作加速了主要垂直市场的下一代产品设计,包括高
  • 关键字: 新思科技  台积电  

新思科技推出全新64位ARC处理器IP

  • 新的64位ARCv3 ISA支持52位物理和64位虚拟地址空间,可以高效地访问更大的存储器ARC HS5x(32位)和HS6x(64位)处理器从1核扩展到12核,单核性能高达13,750 CoreMarks和8750 DMIPS新款HS6x处理器采用64位流水线和寄存器组,并向后兼容现有的ARC EM和HS系列MetaWare开发工具套件简化了高度优化的高密度代码开发和调试新思科技今天宣布推出面向高性能嵌入式应用的全新DesignWare® ARC® HS5x和HS6x处理器IP系列。32位ARC HS5
  • 关键字: 新思科技  64位  ARC处理器  IP  

新思科技大咖“私房菜”:边缘计算如何引“爆”5G和IoT的未来

  • “颠覆性时代”或许是对我们所处时代最恰当的描述。大数据、AI、5G、IoT……数年之内各项颠覆性技术渐次爆发、交相辉映,更有趣的是,这些具有颠覆能力的技术并不是独立发展的,相反,它们是如此的盘根错节、相辅相成。甚至,大数据、IoT 和 AI 的组合可以为未来带来无限潜力,而能够将这些技术“粘合”到一起的是边缘计算。何为边缘计算?新思科技(Synopsys)产品营销经理 Ron Lowman指出,边缘计算有很多术语,包括“边缘云计算”和“雾计算”。边缘计算的概念通常被描述为在本地服务器上运行的应用程序,旨在
  • 关键字: 新思科技  

新思科技推出RTL Architect,加速设计收敛周期

  • -独特的RTL调整环境可减少物理设计迭代加州山景城2020年4月24日 /美通社/ --重点:RTL Architect是业界首个物理感知RTL分析、优化和signoff系统,该系统基于快速多维预测引擎上,用于实现卓越的RTL设计交付统一的Fusion数据模型提供前所未有的容量和可扩展性,以支持全芯片层次化的RTL设计流程RTL Architect采用新思科技世界级实现和金牌signoff解决方案构建相关结果新思科技和Arm就RTL Architect展开合作以加快新一代芯核开发新思科技(Synopsys
  • 关键字: 新思科技  

AMD采用新思科技Fusion Compiler

  • 新思科技(Synopsys, Inc.)近日宣布,AMD已为其全流程数字设计实现部署新思科技Fusion Compiler™ RTL-to-GDSII产品。Fusion Compiler提供了业界领先的性能、功耗和面积(PPA)指标。通过此次评估,新思科技还进一步扩大了与AMD的合作,以优化AMD EPYC™处理器上的应用,目标是在采用AMD EPYC处理器的服务器上部署Fusion Compiler RTL-to-GDSII产品时,提供显著的运行加速优势。这些改进将通过即将推出的升级包提供给所有用户。&
  • 关键字: AMD  新思科技  

新思科技发布业界首个AI自主芯片设计解决方案DSO.ai

  • 新思科技近日推出业界首个用于芯片设计的自主人工智能应用程序——DSO.aiTM(Design Space Optimization AI),这是电子设计技术上所取得的重大突破。DSO.aiTM解决方案的创新灵感来源于DeepMind的AlphaZero,使得AI在围棋、象棋领域远超人类。作为一款人工智能和推理引擎,DSO.ai能够在芯片设计的巨大求解空间里搜索优化目标。该解决方案大规模扩展了对芯片设计流程选项的探索,能够自主执行次要决策,帮助芯片设计团队以专家级水平进行操作,并大幅提高整体生产力,从而在芯
  • 关键字: 新思科技  AI自主芯片  DSO.ai  

新思科技 成立新竹AI设计中心

  • 电子设计自动化工具(EDA)及矽智财(IP)业者新思科技(Synopsys)响应台湾地区推动人工智能(AI)科技发展的政策,因应当前产业发展趋势,已在新竹交通大学博爱校区增建研发中心,现更进一步延伸全球研发能量成立“新竹AI设计中心”,引进AI芯片设计所需之核心技术,希望具备相关本职学能之优秀人才,加入新思科技的研发团队,为台湾推动AI科技发展尽一份心力。
  • 关键字: 新思科技  AI  新竹  

新思科技支持恩智浦S32G车辆网络处理器并推出VDK产品组合

  • 新思科技近日宣布其支持恩智浦(NXP)S32G车辆网络处理器的虚拟器开发套件(VDK)已全面上市。VDK已被恩智浦团队广泛用于开发其S32G赋能软件和固件。VDK是使用虚拟样机作为嵌入式目标的软件开发套件,它不但使Tier 1、OEM和半导体公司能够在硬件上市前数月便开始软件开发、集成和测试,还能够通过回归测试实现灵活和可扩展的部署,并通过故障注入提升测试覆盖率,满足高等级汽车功能安全要求,加速产品开发和测试进度。
  • 关键字: 新思科技  VDK  S32G车辆网络处理器  

新思科技携手保时捷加速汽车开发,助力数字未来

  • 新思科技近日宣布与保时捷共同编写了《为数字未来加速汽车开发》白皮书,勾勒最为先进的汽车电子架构开发流程。该白皮书旨在基于保时捷系统工程原则,在现有汽车开发过程中融入新思科技Triple Shift-Left方法学。
  • 关键字: 新思科技  保时捷  数字  

新思科技推出新款ARC功能安全处理器IP核,进一步简化并加快汽车芯片的开发

  • 新思科技(Synopsys, Inc)近日宣布推出其热门DesignWare? ARC? 处理器IP核的新款功能安全(FS)衍生产品,以简化和加快汽车芯片的开发。
  • 关键字: 新思科技  ARC  安全处理器  汽车芯片  

新思科技推出新款ARC功能安全处理器IP核,加快汽车芯片的开发

  • 新思科技(Synopsys, Inc.)近日宣布推出其热门DesignWare® ARC®处理器IP核的新款功能安全(FS)衍生产品,以简化和加快汽车芯片的开发。安全增强型处理器组合包括新思科技DesignWare ARC EM22FS、HS4xFS和EV7xFS处理器,涵盖了从超低功耗控制模块到基于人工智能的视觉处理等各种汽车用例。新思科技ARC“FS”内核集成了用于检测系统错误的硬件安全功能,如冗余处理器、纠错码(ECC)、奇偶校验保护、安全监视器和用户可编程窗口看门狗定时器。包括强化安全手册、FME
  • 关键字: 新思科技,ARC  

三星联合ARM与新思科技开发5纳米制程优化工具

  • 在半导体先进制程上的进争,目前仅剩下台积电、三星、以及英特尔。不过,因为英特尔以自己公司的产品生产为主,因此,台积电与三星的竞争几乎成为半导体界中热门的话题。
  • 关键字: 三星  ARM  新思科技  5纳米  

PCIe 5.0首秀!PCIe 4.0刚出生就落伍了?

  • PCIe 4.0产品正在纷纷面世,但是下一代PCIe 5.0已经迫不及待地走来,标准规范刚刚完成不到半年的它,已经得到了一批产品和技术的采纳,比如Intel 10nm Agilex FPGA,比如CXL、CCIX、Gen-Z高速互连标准。
  • 关键字: PCIe 5.0  PCIe 4.0  新思科技  

新思科技:开源时代的风险是什么?

  • 软件审计是在企业投入生产之前发现开源许可证风险的最佳方式。新思科技的黑鸭审计服务团队每年为其客户进行数千个代码库的开源审计。这些审计需求主要来自合并和收购交易,并最终成为新思科技年度《开源安全和风险分析》(OSSRA)报告的匿名数据的关键来源。当新思科技团队对代码库进行审计时,他们专注于识别所有开源和第三方组件及与其关联的许可证,以便确定是否存在任何潜在的许可证冲突。近期发布的2019年OSSRA报告审查了1,200多个商业代码库的数据结果,这些代码库用于希望评估其开源许可证合规性和安全风险的企业和组织。
  • 关键字: 软件审计  新思科技  代码库  
共134条 7/9 |‹ « 1 2 3 4 5 6 7 8 9 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473