首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> chiplet

chiplet 文章 进入chiplet技术社区

Chiplet:豪门之间的性能竞赛新战场

  • 可能很多人已经听到过Chiplet这个词,并且也通过各路大咖的报告和演讲对Chiplet有了非常多的了解,甚至很多人将其视为延续“摩尔定律”的新希望。日前,Intel联合AMD、Arm、高通、台积电、三星、日月光、谷歌云、Meta、微软等行业巨头成立Chiplet标准联盟,制定了通用Chiplet的高速互联标准“Universal Chiplet Interconnect Express”(以下简称“UCIe”),旨在共同打造Chiplet互联标准、推进开放生态。 其实不管你叫它“芯粒”还是“小
  • 关键字: Chiplet  UCIe  小芯片  

芯原股份:将进一步推进Chiplet技术和项目产业化

  •   3月3日,芯原股份在发布的投资者关系活动记录中称,公司开始推出一系列面向快速发展市场的平台化解决方案,其中就包括在2021年上半年芯片流片完成的高端应用处理器平台。这一高端应用处理器平台基于高性能总线架构和全新的FLC终极内存/缓存技术,为广泛的应用处理器SoC产品提供一个全新的实现高性能、高效率和低功耗的计算平台,并可显著地降低系统总体成本,旨在面向国内外广泛的处理器市场,包括PC、自动驾驶、数据中心等领域。目前,芯原股份已与国内外一些客户进行接触;另外,芯原股份还将在公司高端应用处理器平台的基础上
  • 关键字: 芯原股份  chiplet  

苹果发布“合二为一”芯片,华为公布“芯片叠加”的专利

  • 昨日凌晨的苹果春季发布会上,苹果发布了最强的 “M1 Ultra”芯片。在大会上,苹果公布了 M1 Ultra 芯片很多牛逼的参数,比如:晶体管数量1140亿颗;20核CPU(16 个高性能内核和 4 个高效内核);最高64核GPU;32核神经网络引擎;2.5TB/s数据传输速率;800GB/s内存带宽;最高128GB统一内存。M1 Ultra 是 Apple 芯片的又一个游戏规则改变者,它将再次震撼 PC 行业。通过将两个M1 Max 芯片与我们的 UltraFusion 封装架构相连接,我们能够将 A
  • 关键字: 苹果  M1 Ultra  chiplet  

Chiplet之间如何通信?台积电是这样干的

  • 最近日趋热门的异构和multi-die  2.5D封装技术推动了一种新型的接口的产生,那就是超短距离(ultra-short reach :USR),其电气特性与传统的印刷电路板走线有很大不同。长而有损的连接需要使用SerDes IP的串行通信通道,而短距离接口则支持并行总线体系结构。SerDes信号需要端接(50 ohm),以最大程度地减少反射并减少远端串扰,从而增加功耗。2.5D封装内的电气短路接口无需端接。相比于“recovering”嵌入在串行数据流中的时钟,并具有相关的时钟数
  • 关键字: 台积电  chiplet  通信  

AMD 官宣 3D Chiplet 架构:可实现“3D 垂直缓存”

  • 6 月 1 日消息 在今日召开的 2021 台北国际电脑展(Computex 2021)上,AMD CEO 苏姿丰发布了 3D Chiplet 架构,这项技术首先将应用于实现“3D 垂直缓存”(3D Vertical Cache),将于今年年底前准备采用该技术生产一些高端产品。苏姿丰表示,3D Chiplet 是 AMD 与台积电合作的成果,该架构将 chiplet 封装技术与芯片堆叠技术相结合,设计出了锐龙 5000 系处理器原型。官方展示了该架构的原理,3D Chiplet 将一个 64MB 的 7n
  • 关键字: AMD  chiplet  封装  

英特尔对chiplet未来的一些看法

  • 在英特尔2020年架构日活动即将结束的时候,英特尔花了几分钟时间讨论它认为某些产品的未来。英特尔客户计算部门副总裁兼首席技术官Brijesh Tripathi提出了对2024年以上未来客户端产品前景的展望。他表示,他们将以英特尔的7+制造工艺为中心,目标是启用“Client 2.0”,这是一种通过更优化的芯片开发策略来交付和实现沉浸式体验的新方法。Chiplet(小芯片)并不是新事物,特别是随着英特尔竞争对手最近发布的芯片,并且随着我们进入更复杂的过程节点开发,小芯片时代可以使芯片上市时间更快,给定产品的
  • 关键字: 英特尔  chiplet  封装  

「芯调查」Chiplet“乐高化”开启 UCIe联盟要打造芯片的DIY时代

  •   Chiplet(小芯片或芯粒)虽然受到工业界和学术界的追捧,之前只是“少数人的游戏”。但随着UCIe产业联盟的诞生,一切将成为过往。一个由顶级厂商所主导的Chiplet生态系统已经开始打造,芯片工业发展的新未来开始浮出水面。因何结盟  UCIe(Universal Chiplet Interconnect Express)联盟包括了英特尔、台积电、三星、AMD、Arm、高通、日月光、Google Cloud、Meta、微软等行业巨头,旨在建立统一的die-to-die(裸片到裸片)互联标准,打造一个开
  • 关键字: chiplet  UCIe  小芯片  芯粒  

Chiplet的真机遇和大挑战

  •   全球主要芯片制造商们昨日宣布,他们正合作为Chiplet技术创建行业标准,参与该计划的公司包括ASE、AMD、Arm、Intel、高通、三星电子和台积电等,新的行业标准将被命名为UCIe,这一标准或将带来Chiplet的再次变革。  Omdia数据显示,全球Chiplet市场到2024年预计可以达到58亿美元,到2035年将成长至570亿美元。AMD 2021年重磅宣布Chiplet以来,Chiplet的风潮不断冲击半导体行业,而今Chiplet已经扩大到半导体诸多公司。  Chiplet是站在fab
  • 关键字: chiplet  AMD  英特尔  台积电  

Chiplet正当红 —— 它为何引得芯片巨头纷纷入局?

  • 近年来,AMD、英特尔、台积电、英伟达等国际芯片巨头均开始纷纷入局Chiplet。同时,随着入局的企业越来越多,设计样本也越来越多,开发成本也开始下降,大大加速了Chiplet生态发展。
  • 关键字: Chiplet  芯片  

AMD推动高效能运算产业发展 首款3D chiplet应用亮相

  • AMD展示了最新的运算与绘图技术创新成果,以加速推动高效能运算产业体系的发展,涵盖游戏、PC以及数据中心。AMD总裁暨执行长苏姿丰博士发表AMD在高效能运算的最新突破,揭示AMD全新3D chiplet技术;与业界领导厂商特斯拉和三星合作,扩大了AMD运算与绘图技术在汽车与手机市场的应用;新款AMD Ryzen处理器瞄准狂热级玩家与消费性PC;最新AMD第3代EPYC处理器所带来领先的数据中心效能;以及为游戏玩家提供的一系列全新AMD绘图技术。 AMD总裁暨执行长苏姿丰展示AMD全新3D chi
  • 关键字: AMD  3D chiplet  Ryzen  

打造生态系 小芯片卷起半导体产业一池春水

  • 在过去数年的时间,半导体的2.5D异质整合芯片的确解决了很多半导体产业发展上所遇到的挑战,包括舒缓摩尔定律的瓶颈,还有在降低一次性工程费用 ( Non-Recurring Engineering;NRE ) 的同时,还能提供高阶处理能力,并且还能提高产量以及缩短产品上市时间。小芯片生态系统成形随着半导体技术不断的发展,在技术上其实已经不太是个问题了。特别是近年来先进制程的开发不断传出新的捷报,在摩尔定律的瓶颈上似乎又被工程界不断开发出新的道路。因此看今天的半导体发展,技术并不是个太大的难题,主要的问题在于
  • 关键字: 小芯片  Chiplet  摩尔定律  

封装与晶粒接口技术双管齐下 小芯片发展加速

  • 当延续摩尔定律的开发重点,也就是单一芯片晶体管数量的世代更迭仍因技术受阻而放缓,未来芯片市场逐渐开始拥抱小芯片的设计思维,透过广纳目前供应链成熟且灵活的先进制程技术,刺激多方厂商展开更多合作,进一步加速从设计、制造、测试到上市的流程,让更多高效节能的芯片与物联网成真。要说目前市场上最主流的芯片设计,必非「系统单芯片(SoC)」莫属。就这点,近年最广为热论的焦点就锁定苹果2020年推出基于Arm架构的自制芯片M1,而日前盛大举行的苹果2021年首场全球新品发布会中,最新一代iMac更揭晓为继MacBook之
  • 关键字: 小芯片  Chiplet  摩尔定律  

小芯片Chiplet夯什么?挑战摩尔定律天花板

  • 大人物(大数据、人工智能、物联网)时代来临,高效能、低功耗、多功能高阶制程芯片扮演重要角色,随着功能增加,芯片面积也越来越大,想降低芯片成本,先进封装技术不可或缺。棘手的是,先进封装技术导入过程中,很可能因为良率不稳定导致成本垫高。另一方面,新功能芯片模块在面积变大之余也要克服摩尔定律(Moore’s Law)物理极限,在晶体管密度与效能间找到新的平衡。前述两个问题,小芯片(Chiplet)有解!实验研究院台湾半导体研究中心(简称国研院半导体中心)副主任谢嘉民指出,过去的芯片效能提升多仰赖半导体制程改进,
  • 关键字: 小芯片  Chiplet  摩尔定律  

Imagination CEO:在逆境中创新,向更好未来迈进

  • 由于疫情不断蔓延,加上大国之间的关系变得冷淡,过去一年对个人和企业来说都是动荡不安的。在2019年底时,没人能预知我们现在的处境,因此未来的12个月及之后的时间同样难以预测和规划。然而,在目睹整个世界特别是半导体产业如何因应疫情的发展之后,也让我们意识到更多积极的事情将不断到来。受益者和受损者半导体产业规模庞大,许多领域受到了影响,其中一些领域出现了发展放缓的现象。例如,由于政府将时间和资金都集中用于抗击疫情,因此大型基础设施和智慧城市的部署速度有所减慢。但另一方面, 自疫情爆发以来,消费类市场
  • 关键字: chiplet  IP  

金升阳新的DC-DC定压R4电源:涅槃重生,创“芯”未来

  • 1 “芯片级”模块电源的诞生DC-DC定电压电源模块是金升阳公司的拳头产品,在全球有数十万用户,可谓世界级的产品。2020年,金升阳历经多年技术沉淀,推出第四代定压产品(简称“定压R4”),可谓具有突破性的“芯片级”的模块电源(如图1)。实际上,金升阳的定压系列产品从R1升级到R2,再到R3代,每次更迭换代,产品都进行了非常多的电路和工艺技术突破;但是封装工艺上还是一样,仍沿用传统的灌封/塑封工艺,产品结构和外观没有显著变化。不过,此次推出的新的定压R4代电源模块,最大的技术创新点就是在封装工艺上取得了重
  • 关键字: 202005  DC-DC  金升阳  Chiplet SiP  
共61条 4/5 |‹ « 1 2 3 4 5 »

chiplet介绍

您好,目前还没有人创建词条chiplet!
欢迎您创建该词条,阐述对chiplet的理解,并与今后在此搜索chiplet的朋友们分享。    创建词条

热门主题

树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473