首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> vhdl.programming

vhdl.programming 文章 进入vhdl.programming技术社区

基于VHDL的直流电机控制功能模块设计

  • 仝 勇 陶东娅 金银旗
    (1.台州职业技术学院机电工程学院 2.台州市光跃饮水设备有限公司)
    摘要:介绍了一种基于VHDL的直流电机控制功能模块的设计方案。用硬件描述语言VHDL写代码生成功能模块IP核,并通过SOPC Bu
  • 关键字: 功能模块  设计  控制  电机  VHDL  直流  基于  

基于VHDL的直流电机控制功能模块设计

  • 随着社会的发展,直流电机的应用越来越普遍,对直流电机控制方式的要求也不断提高。本文利用ALTERA公司最新开发...
  • 关键字: VHDL  直流  电机控制  

基于VHDL和FPGA的多种分频实现方法介绍

  • 基于VHDL和FPGA的多种分频实现方法介绍,分频器是数字系统设计中的基本电路,根据不同设计的需要,我们会遇到偶数分频、奇数分频、半整数分频等,有时要求等占空比,有时要求非等占空比。在同一个设计中有时要求多种形式的分频。通常由计数器或计数器的级联
  • 关键字: 方法  介绍  实现  多种  VHDL  FPGA  基于  

基于高速串行BCD码除法的数字频率计的设计

  • 摘要:介绍了在PPGA芯片上实现数字频率计的原理。对各种硬件除法进行了比较,提出了高速串行BCD码除法的硬件算 ...
  • 关键字: 频率测量  周期测量  FPGA  VHDL  状态机  

基于VHDL的MTM总线主模块有限状态机设计

  • 摘要:为了能够更简洁严谨地描述MTM总线的主模块有限状态机的状态转换,同时减少FPGA芯片功耗,提高系统稳定性,文中在分析MTM总线结构和主模块有限状态机模型的基础上,基于VHDL语言采用“单进程”式对该
  • 关键字: 有限  状态  设计  模块  总线  VHDL  MTM  基于  

解析基于FPGA的智能控制器设计及测试方法

  • 1引言随着市场需求的增长,超大规模集成电路的集成度和工艺水平不断提高,在一个芯片上完成系统级的...
  • 关键字: FPGA  VHDL  仿真测试  智能控制器  

采用VHDL和发接复用器的SDH系统设计及FPGA仿真

  • 采用VHDL和发接复用器的SDH系统设计及FPGA仿真,针对目前国内SDH系统中还没有一个专门的E1分接复用芯征,本文介绍一种用高级硬件描述语言VHDL及状态转移图完成该发接复用器的设计的新型设计方法及其FPGA实现。并给出了用Xilinx FoundaTIon tools EDA软件设计的电路
  • 关键字: 设计  FPGA  仿真  系统  SDH  VHDL  复用器  采用  

采用FPGA和VHDL语言的多按键状态识别系统

  • 采用FPGA和VHDL语言的多按键状态识别系统,这里提出一种利用FPGA的I/0端口数多和可编程的特点,采用VHDL语言的多按键状态识别系统,实现识别60个按键自由操作,并简化MCU的控制信号。  2 系统设计方案  FPGA是一种可编程逻辑器件,它具有良好性能、极高的
  • 关键字: 状态  识别  系统  按键  语言  FPGA  VHDL  采用  

FPGA驱动LED静态显示和动态显示的VHDL程序

  • 例1:FPGA驱动LED静态显示  --文件名:decoder.vhd  --功能:译码输出模块,LED为共阳接法  --最后修改日期:2004.3.24  library IEEE;  use IEEE.STD_LOGIC_1164.ALL;  use IEEE.STD_LOGIC_ARITH.ALL; 
  • 关键字: FPGA  VHDL  LED  驱动    

基于VHDL的交通灯控制器设计

  • 应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果。  1 系统功能与要求  交通灯控制器控
  • 关键字: 设计  控制器  交通  VHDL  基于  

基于VHDL语言对高速A/D器件TLC5510控制的实现

  • 基于VHDL语言对高速A/D器件TLC5510控制的实现,--TLC5510 VHDL控制程序  --文件名:TLC5510.vhd  --功能:基于VHDL语言,实现对高速A/D器件TLC5510控制  --最后修改日期:2004.3.20  library ieee;  use ieee.std_logic_1164.all;  entity tlc5510 is
  • 关键字: TLC5510  控制  实现  器件  A/D  VHDL  语言  高速  基于  

基于FPGA的中文字符显示的VHDL程序

  • 基于FPGA的中文字符显示的VHDL程序,--文件名:lcd_driver.vhd。  --功能:FGAD驱动LCD显示中文字符“年”。  --最后修改日期:2004.3.24。  library IEEE;  use IEEE.STD_LOGIC_1164.ALL;  use IEEE.STD_LOGIC_ARITH.ALL;  use
  • 关键字: VHDL  程序  显示  字符  FPGA  中文  基于  

基于VHDL的基带信号的MFSK调制

  • 基于VHDL的基带信号的MFSK调制,--多进制数字频率调制(MFSK)系统VHDL程序  --文件名:MFSK  --功能:基于VHDL硬件描述语言,完成对基带信号的MFSK调制  --说明:这里MFSK的M为4  --最后修改日期:2004.2.13  library ieee;  use ieee.s
  • 关键字: MFSK  调制  信号  基带  VHDL  基于  

用VHDL/VerilogHD语言开发PLD/FPGA的完整流程

  • 用VHDL/VerilogHD语言开发PLD/FPGA的完整流程,用VHDL/VerilogHD语言开发PLD/FPGA的完整流程为:  1.文本编辑:用任何文本编辑器都可以进行,也可以用专用的HDL编辑环境。通常VHDL文件保存为.vhd文件,Verilog文件保存为.v文件  2.功能仿真:将文件调入HDL仿真
  • 关键字: 完整  流程  PLD/FPGA  开发  语言  VHDL/VerilogHD  

VHDL语言及其应用介绍

  • 随着电子技术的发展,数字系统的设计正朝高速度、大容量、小体积的方向发展,传统的自 底而上的设计方法已难以适应形势。EDA(Electronic Design Automation)技术 的应运而生,使传统的电子系统设计发生了根本的变革。
  • 关键字: VHDL    
共251条 8/17 |‹ « 6 7 8 9 10 11 12 13 14 15 » ›|
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473