<![CDATA[ 电子产品世界 ]]> https://www.amcfsurvey.com ch-cn editor@eepw.com.cn webmaster@eepw.com.cn 5 PHP RSS Feed Generator <![CDATA[ NIV3071 eFuse 在汽车应用中的优势 ]]>

汽车应用中的NIV3071

在汽车应用中使用 NIV3071 有几个优势。该器件采用 6x5 mm 封装,与机械继电器和熔断器等传统解决方案相比,大大减少了所需的电路板面积。与这些传统解决方案相比,eFuse 在发生故障时无需更换,因为其保护功能将同时保护器件和负载,从而在整个车辆中实现分布式区域控制架构。该器件有两个版本:锁存型和自动重试型。如果发生故障,锁存器件将锁闭,直到通过切换 EN 引脚或功率循环发送命令为止。自动重试器件将等待 3 ms,如果进入热关断保护,则会等待足够冷却芯片的时间,然后再尝试重新导通。

与传统保险丝相比,NIV3071的另一个优势是在发生故障时,其短路响应时间非常快,仅为 6 s。与图 1 所示的传统保险丝相比,这分别降低了峰值电流和功耗,对任何相关线束的峰值电流和额定功率都有益。此外,快速响应时间可防止输入电源电压骤降,从而保护任何安全攸关的负载以及使用同一电源的其他负载,如图2所示。

1713863672261407.png

图1 左侧插片式保险丝,中心 PTC,右侧 NIV3071 eFuse

1713863696629541.png

图2 同一电源上有多个eFuse

NIV3071由四个集成通道组成,可以独立驱动,也可以并联在一起,以提供更多负载电流,如图 3 所示。输入可以由不同的电源或公共电源供电。凭借这种灵活性,NIV3071 可以通过同一器件轻松支持 48 V 和 12 V 负载。这在汽车应用中非常有利,因为通过一个器件即可保护 ECU(电子控制单元)中的 48 V 和 12 V 负载。

1713863717522059.png

图3 NIV3071的配置

该器件应与稳压电源搭配使用。由于该器件具有 UVLO 功能且无反向电流保护,因此不建议用在需要冷启动和抛负载(负载突降)的其他汽车应用。

动态特性和注意事项

导通时序

NIV3071具有受控导通功能,可以通过导通延迟时间(Tdly(On))和导通时间(tRAMP(On))进行描述,如图 4 所示:

image.png

图4 NIV3071的导通时序

导通延迟时间定义为 EN 引脚达到其最大值的 90% 到输出端达到标称电压的 10% 之间的时间。导通时间定义为输出端达到标称电压的 10% 至 90% 之间的时间。如果 EN 引脚上出现任何瞬时电压尖峰,或者微控制器在加电时发送正确逻辑信号略有延迟,则导通延迟时间会用作去毛刺滤波器,以确保在预期导通时间安全启动负载。

导通时间功能可以通过外部电容进行配置。要正确使用导通时间功能,必须考虑负载类型。虽然对于容性负载来说,增加导通时间有助于减少浪涌电流尖峰,但对于阻性负载,随着输出电压上升,消耗的电流将持续增加。由于该直流电流和延长的输出导通时间会导致在器件上产生电压梯度,器件将消耗大量功率,并可能在器件完全导通之前进入热关断状态以保护芯片。这取决于输入电压、输出电压导通时间、负载电流曲线和环境温度。

浪涌电流控制

NIV3071 和安森美 (onsemi) 的其他 eFuse 具有浪涌电流控制功能,可限制导通容性负载时出现的峰值电流。该功能由一个外部引脚控制,该引脚可以保持开路,或者在 dvdt 引脚和地之间使用一个较小值的陶瓷电容。通过向该引脚添加电容,可以延长输出导通时间,从而降低峰值电流,可以表示为:

image.png

以下关系式可用于控制给定Cload下的峰值电流:

1713863789416734.png

图5 导通时间与dv/dt引脚电容的关系

使用上述公式和图5,用户可以设置导通容性负载时的最大浪涌电流。下面的图6和图7提供了一个测试用例:

1713863810217619.png

图6 浪涌电流控制测试用例

1713863833180152.png

图7 测试用例的测量结果

大容性负载和肖特基二极管

对于较大的容性负载(和低电流直流负载),可以在输出和输入之间放置一个肖特基二极管,如图8所示,以在器件关断时保护 eFuse 的体二极管免受过大反向电流的影响。一旦输入电压降至 UVLO 以下,器件就会关断,由于输出电容在完全放电之前维持输出电压,这会在器件上产生反向电压。与此同时,负电压对肖特基二极管进行偏置,提供了在器件周围放电的路径,如图9中的测量结果所示。

1713863854979165.png

图8 使用肖特基二极管防止反向电流情况

1713863886468362.png

图9 测量流经器件和外部肖特基二极管的电流

关闭感性负载

在输出端发生接地短路或过流故障事件期间,NIV3071 可快速关断以同时保护器件和下游电路。如果电源路径中存在很大的电感(例如电缆),则快速关断将导致电压尖峰超过器件的额定电压。为了缓解这些电压尖峰,可以使用多种选项。可以在输入和接地端之间放置一个 TVS 二极管,而肖特基二极管可以作为续流二极管与负载并联放置。此外,RC 缓冲电路可与负载并联使用。如果具体应用中的预期电感超过 5 uH,则强烈建议使用外部肖特基二极管或缓冲器。

总结

随着汽车市场的电气化趋势不断升级,且 48 V 系统越来越普及,NIV3071 在汽车应用中具有诸多优势。

]]>

汽车应用中的NIV3071

在汽车应用中使用 NIV3071 有几个优势。该器件采用 6x5 mm 封装,与机械继电器和熔断器等传统解决方案相比,大大减少了所需的电路板面积。与这些传统解决方案相比,eFuse 在发生故障时无需更换,因为其保护功能将同时保护器件和负载,从而在整个车辆中实现分布式区域控制架构。该器件有两个版本:锁存型和自动重试型。如果发生故障,锁存器件将锁闭,直到通过切换 EN 引脚或功率循环发送命令为止。自动重试器件将等待 3 ms,如果进入热关断保护,则会等待足够冷却芯片的时间,然后再尝试重新导通。

与传统保险丝相比,NIV3071的另一个优势是在发生故障时,其短路响应时间非常快,仅为 6 s。与图 1 所示的传统保险丝相比,这分别降低了峰值电流和功耗,对任何相关线束的峰值电流和额定功率都有益。此外,快速响应时间可防止输入电源电压骤降,从而保护任何安全攸关的负载以及使用同一电源的其他负载,如图2所示。

1713863672261407.png

图1 左侧插片式保险丝,中心 PTC,右侧 NIV3071 eFuse

1713863696629541.png

图2 同一电源上有多个eFuse

NIV3071由四个集成通道组成,可以独立驱动,也可以并联在一起,以提供更多负载电流,如图 3 所示。输入可以由不同的电源或公共电源供电。凭借这种灵活性,NIV3071 可以通过同一器件轻松支持 48 V 和 12 V 负载。这在汽车应用中非常有利,因为通过一个器件即可保护 ECU(电子控制单元)中的 48 V 和 12 V 负载。

1713863717522059.png

图3 NIV3071的配置

该器件应与稳压电源搭配使用。由于该器件具有 UVLO 功能且无反向电流保护,因此不建议用在需要冷启动和抛负载(负载突降)的其他汽车应用。

动态特性和注意事项

导通时序

NIV3071具有受控导通功能,可以通过导通延迟时间(Tdly(On))和导通时间(tRAMP(On))进行描述,如图 4 所示:

image.png

图4 NIV3071的导通时序

导通延迟时间定义为 EN 引脚达到其最大值的 90% 到输出端达到标称电压的 10% 之间的时间。导通时间定义为输出端达到标称电压的 10% 至 90% 之间的时间。如果 EN 引脚上出现任何瞬时电压尖峰,或者微控制器在加电时发送正确逻辑信号略有延迟,则导通延迟时间会用作去毛刺滤波器,以确保在预期导通时间安全启动负载。

导通时间功能可以通过外部电容进行配置。要正确使用导通时间功能,必须考虑负载类型。虽然对于容性负载来说,增加导通时间有助于减少浪涌电流尖峰,但对于阻性负载,随着输出电压上升,消耗的电流将持续增加。由于该直流电流和延长的输出导通时间会导致在器件上产生电压梯度,器件将消耗大量功率,并可能在器件完全导通之前进入热关断状态以保护芯片。这取决于输入电压、输出电压导通时间、负载电流曲线和环境温度。

浪涌电流控制

NIV3071 和安森美 (onsemi) 的其他 eFuse 具有浪涌电流控制功能,可限制导通容性负载时出现的峰值电流。该功能由一个外部引脚控制,该引脚可以保持开路,或者在 dvdt 引脚和地之间使用一个较小值的陶瓷电容。通过向该引脚添加电容,可以延长输出导通时间,从而降低峰值电流,可以表示为:

image.png

以下关系式可用于控制给定Cload下的峰值电流:

1713863789416734.png

图5 导通时间与dv/dt引脚电容的关系

使用上述公式和图5,用户可以设置导通容性负载时的最大浪涌电流。下面的图6和图7提供了一个测试用例:

1713863810217619.png

图6 浪涌电流控制测试用例

1713863833180152.png

图7 测试用例的测量结果

大容性负载和肖特基二极管

对于较大的容性负载(和低电流直流负载),可以在输出和输入之间放置一个肖特基二极管,如图8所示,以在器件关断时保护 eFuse 的体二极管免受过大反向电流的影响。一旦输入电压降至 UVLO 以下,器件就会关断,由于输出电容在完全放电之前维持输出电压,这会在器件上产生反向电压。与此同时,负电压对肖特基二极管进行偏置,提供了在器件周围放电的路径,如图9中的测量结果所示。

1713863854979165.png

图8 使用肖特基二极管防止反向电流情况

1713863886468362.png

图9 测量流经器件和外部肖特基二极管的电流

关闭感性负载

在输出端发生接地短路或过流故障事件期间,NIV3071 可快速关断以同时保护器件和下游电路。如果电源路径中存在很大的电感(例如电缆),则快速关断将导致电压尖峰超过器件的额定电压。为了缓解这些电压尖峰,可以使用多种选项。可以在输入和接地端之间放置一个 TVS 二极管,而肖特基二极管可以作为续流二极管与负载并联放置。此外,RC 缓冲电路可与负载并联使用。如果具体应用中的预期电感超过 5 uH,则强烈建议使用外部肖特基二极管或缓冲器。

总结

随着汽车市场的电气化趋势不断升级,且 48 V 系统越来越普及,NIV3071 在汽车应用中具有诸多优势。

]]>
http://www.amcfsurvey.com/article/202404/457976.htm Tue, 23 Apr 2024 17:03:56 +0800
<![CDATA[ 铠侠出样最新一代 UFS 4.0 闪存芯片:连读写入速率提升 15%、封装面积减小 18% ]]> IT之家 4 月 23 日消息,铠侠今日宣布出样最新一代 UFS 4.0 闪存芯片,提供 256GB、512GB、1TB 容量可选,专为包括高端智能手机在内的下一代移动应用打造。

  • 256GB:THGJFMT1E45BATV,封装尺寸 9.0 x 13.0 x 0.8mm

  • 512GB:THGJFMT2E46BATV,封装尺寸 9.0 x 13.0 x 0.8mm

  • 1TB:THGJFMT3E86BATZ,封装尺寸 9.0 x 13.0 x 0.9mm

铠侠最新一代 UFS 4.0 闪存芯片

最新一代铠侠 UFS 4.0 闪存搭载 BiCS Flash 3D 闪存与 UFS 主控,使用 JEDEC 标准 9mm x 13mm 封装,比前代产品的 11mm x 13mm 封装小 18%。

性能方面,新一代 UFS 4.0 闪存连续写入速度提升 15%、随机写入速度提升 50%、随机读取速度提升 30%,最高读取速率维持双通道 UFS 4.0 上限 4640MB/s 不变。(IT之家注:测试对比的前代产品为 512GB 容量的 THGJFLT2E46BATP)

铠侠称 256GB 与 512GB 容量的产品本月开始出样,1TB 版今年 6 月出样,样品的技术规格可能与最终出货产品有所不同。


]]>
IT之家 4 月 23 日消息,铠侠今日宣布出样最新一代 UFS 4.0 闪存芯片,提供 256GB、512GB、1TB 容量可选,专为包括高端智能手机在内的下一代移动应用打造。

  • 256GB:THGJFMT1E45BATV,封装尺寸 9.0 x 13.0 x 0.8mm

  • 512GB:THGJFMT2E46BATV,封装尺寸 9.0 x 13.0 x 0.8mm

  • 1TB:THGJFMT3E86BATZ,封装尺寸 9.0 x 13.0 x 0.9mm

铠侠最新一代 UFS 4.0 闪存芯片

最新一代铠侠 UFS 4.0 闪存搭载 BiCS Flash 3D 闪存与 UFS 主控,使用 JEDEC 标准 9mm x 13mm 封装,比前代产品的 11mm x 13mm 封装小 18%。

性能方面,新一代 UFS 4.0 闪存连续写入速度提升 15%、随机写入速度提升 50%、随机读取速度提升 30%,最高读取速率维持双通道 UFS 4.0 上限 4640MB/s 不变。(IT之家注:测试对比的前代产品为 512GB 容量的 THGJFLT2E46BATP)

铠侠称 256GB 与 512GB 容量的产品本月开始出样,1TB 版今年 6 月出样,样品的技术规格可能与最终出货产品有所不同。


]]>
http://www.amcfsurvey.com/article/202404/457972.htm Tue, 23 Apr 2024 16:15:03 +0800
<![CDATA[ 英伟达与越南科技巨头 FPT 达成合作,将投资 2 亿美元共建 AI 工厂 ]]> IT之家 4 月 23 日消息,越南科技巨头 FPT 与英伟达当地时间周二(今日)宣布达成全面战略合作,双方计划投资 2 亿美元(IT之家备注:当前约 14.5 亿元人民币)、利用英伟达的技术建造一家人工智能工厂。

双方在联合声明中表示,FPT 计划利用英伟达的支持促进 AI 研究,并为越南和全球客户提供服务与解决方案,英伟达还将帮助 FPT 在汽车和数字化转型等领域开发 AI 技术。

据路透社报道,FPT 是越南胡志明市证券交易所市值最高的科技公司,市值达到 55 亿美元,提供各种 AI、云计算和大数据服务,去年总收入超过 20 亿美元(当前约 145 亿元人民币)。

英伟达 CEO 黄仁勋曾在去年 12 月表示,该公司希望扩大与越南顶尖科技公司的合作,并支持越南培养人工智能和数字基础设施开发人才。目前,英伟达已经在越南投资超过 2.5 亿美元(当前约 18.13 亿元人民币)。

据IT之家本月早些时候报道,英伟达此前还与印尼第二大移动通信公司 Indosat Ooredoo Hutchison 合作在中爪哇省梭罗市建设一座人工智能开发中心,总投资额 2 亿美元。

目前,东南亚已成为英伟达的重要收入来源之一。英伟达去年提交给美国证券交易委员会的文件显示,该公司季度收入的约 15%(即 27 亿美元)来自新加坡。

此外,新加坡电信公司今年 1 月也宣布与英伟达合作,在其遍布东南亚的数据中心部署人工智能功能。这一合作将进一步推动东南亚地区的人工智能技术应用和发展。


]]>
IT之家 4 月 23 日消息,越南科技巨头 FPT 与英伟达当地时间周二(今日)宣布达成全面战略合作,双方计划投资 2 亿美元(IT之家备注:当前约 14.5 亿元人民币)、利用英伟达的技术建造一家人工智能工厂。

双方在联合声明中表示,FPT 计划利用英伟达的支持促进 AI 研究,并为越南和全球客户提供服务与解决方案,英伟达还将帮助 FPT 在汽车和数字化转型等领域开发 AI 技术。

据路透社报道,FPT 是越南胡志明市证券交易所市值最高的科技公司,市值达到 55 亿美元,提供各种 AI、云计算和大数据服务,去年总收入超过 20 亿美元(当前约 145 亿元人民币)。

英伟达 CEO 黄仁勋曾在去年 12 月表示,该公司希望扩大与越南顶尖科技公司的合作,并支持越南培养人工智能和数字基础设施开发人才。目前,英伟达已经在越南投资超过 2.5 亿美元(当前约 18.13 亿元人民币)。

据IT之家本月早些时候报道,英伟达此前还与印尼第二大移动通信公司 Indosat Ooredoo Hutchison 合作在中爪哇省梭罗市建设一座人工智能开发中心,总投资额 2 亿美元。

目前,东南亚已成为英伟达的重要收入来源之一。英伟达去年提交给美国证券交易委员会的文件显示,该公司季度收入的约 15%(即 27 亿美元)来自新加坡。

此外,新加坡电信公司今年 1 月也宣布与英伟达合作,在其遍布东南亚的数据中心部署人工智能功能。这一合作将进一步推动东南亚地区的人工智能技术应用和发展。


]]>
http://www.amcfsurvey.com/article/202404/457971.htm Tue, 23 Apr 2024 16:13:54 +0800
<![CDATA[ 研究人员开发出新型 2D 晶体管,可模仿蝗虫大脑实现避障 ]]> IT之家 4 月 23 日消息,印度理工学院孟买分校和伦敦国王学院的研究人员合作开发了一种超低功耗的二维晶体管,能够模拟蝗虫的神经元来实现避障功能,有望降低未来人工智能的能源消耗。

图源 Pexels

自动驾驶和机器人自主移动一直是机器学习和人工智能研发人员梦寐以求的目标,而避障则是这项技术能否在现实世界落地应用的关键。为此,双方研究人员致力于创造一种能以极低功耗实现避障的解决方案。

研究人员在研究避障行为时,发现蝗虫身上有一种名为“小叶巨人运动检测器 (LGMD)”的神经元,当大型物体接近蝗虫时,该神经元会产生脉冲信号,帮助蝗虫避开危险。研究人员利用超薄的二维晶体管成功复制了这种神经元的功能,这种晶体管同样会产生类似的脉冲信号,并且功耗极低,仅为 100 皮焦耳 (pJ) 。(IT之家注:作为参考,运行一个 100 瓦的白炽灯泡 1 秒钟会消耗 100 焦耳能量)。这种轻薄廉价的晶体管功能齐全,经过重新编程后可以识别不同类型的移动物体,并能以极高的精度避开障碍物。

通常情况下,晶体管越小,其能效就越高。此研究中使用的晶体管非常简单,只会在检测到一定范围内的移动时产生脉冲信号,并没有其他功能。然而,研究人员对这项二维技术的前景充满期待。

这些超高效的晶体管可以帮助解决当今人工智能技术普遍存在的能耗问题。伦敦国王学院的 Bipin Rajendran 教授是这项研究的共同作者,他表示:“我们证明了这种脉冲神经元电路可以用于障碍物检测。然而,这种电路还可以应用于其他基于模拟或混合信号技术的类脑计算 (模仿人脑的系统) 应用中,这类应用同样需要低功耗的脉冲神经元。”


]]>
IT之家 4 月 23 日消息,印度理工学院孟买分校和伦敦国王学院的研究人员合作开发了一种超低功耗的二维晶体管,能够模拟蝗虫的神经元来实现避障功能,有望降低未来人工智能的能源消耗。

图源 Pexels

自动驾驶和机器人自主移动一直是机器学习和人工智能研发人员梦寐以求的目标,而避障则是这项技术能否在现实世界落地应用的关键。为此,双方研究人员致力于创造一种能以极低功耗实现避障的解决方案。

研究人员在研究避障行为时,发现蝗虫身上有一种名为“小叶巨人运动检测器 (LGMD)”的神经元,当大型物体接近蝗虫时,该神经元会产生脉冲信号,帮助蝗虫避开危险。研究人员利用超薄的二维晶体管成功复制了这种神经元的功能,这种晶体管同样会产生类似的脉冲信号,并且功耗极低,仅为 100 皮焦耳 (pJ) 。(IT之家注:作为参考,运行一个 100 瓦的白炽灯泡 1 秒钟会消耗 100 焦耳能量)。这种轻薄廉价的晶体管功能齐全,经过重新编程后可以识别不同类型的移动物体,并能以极高的精度避开障碍物。

通常情况下,晶体管越小,其能效就越高。此研究中使用的晶体管非常简单,只会在检测到一定范围内的移动时产生脉冲信号,并没有其他功能。然而,研究人员对这项二维技术的前景充满期待。

这些超高效的晶体管可以帮助解决当今人工智能技术普遍存在的能耗问题。伦敦国王学院的 Bipin Rajendran 教授是这项研究的共同作者,他表示:“我们证明了这种脉冲神经元电路可以用于障碍物检测。然而,这种电路还可以应用于其他基于模拟或混合信号技术的类脑计算 (模仿人脑的系统) 应用中,这类应用同样需要低功耗的脉冲神经元。”


]]>
http://www.amcfsurvey.com/article/202404/457970.htm Tue, 23 Apr 2024 15:33:56 +0800
<![CDATA[ 消息称软银计划到 2025 年投资 1500 亿日元,加速 AI 大模型开发 ]]> IT之家 4 月 23 日消息,据《日本经济新闻》报道,软银计划到 2025 年投资 1500 亿日元(IT之家备注:当前约 70.2 亿元人民币),加速 AI 大模型的开发工作。

软银 2023 年就在生成式 AI 算力基础设施上进行了 200 亿日元(当前约 9.36 亿元人民币)的投资,此次追加投资后整体投资规模将创下日本企业历史第一。

据悉,软银目标在年内完成 390B 参数模型的开发,并在明年开始研发万亿参数级别的日语大模型

报道指出,日本企业中 NTT 和 NEC 等已跟进 AI 模型开发热潮,但这些企业的模型产品参数规模停留在数 B 至数十 B 级别,而软银目标开发出水平世界一流且日语专用的大模型

为了满足大模型开发的需求,软银将从英伟达大量采购 AI GPU,以将算力提升至现有水平的数十倍,并考虑向外出租算力资源。

根据日本电子情报技术产业协会去年末的研报,2030 年日本生成式 AI 市场规模将达 1.7774 万亿日元(当前约 831.82 亿元人民币),相较去年提升约 14 倍,年化增长率达 47.2%。


]]>
IT之家 4 月 23 日消息,据《日本经济新闻》报道,软银计划到 2025 年投资 1500 亿日元(IT之家备注:当前约 70.2 亿元人民币),加速 AI 大模型的开发工作。

软银 2023 年就在生成式 AI 算力基础设施上进行了 200 亿日元(当前约 9.36 亿元人民币)的投资,此次追加投资后整体投资规模将创下日本企业历史第一。

据悉,软银目标在年内完成 390B 参数模型的开发,并在明年开始研发万亿参数级别的日语大模型

报道指出,日本企业中 NTT 和 NEC 等已跟进 AI 模型开发热潮,但这些企业的模型产品参数规模停留在数 B 至数十 B 级别,而软银目标开发出水平世界一流且日语专用的大模型

为了满足大模型开发的需求,软银将从英伟达大量采购 AI GPU,以将算力提升至现有水平的数十倍,并考虑向外出租算力资源。

根据日本电子情报技术产业协会去年末的研报,2030 年日本生成式 AI 市场规模将达 1.7774 万亿日元(当前约 831.82 亿元人民币),相较去年提升约 14 倍,年化增长率达 47.2%。


]]>
http://www.amcfsurvey.com/article/202404/457969.htm Tue, 23 Apr 2024 15:30:44 +0800
<![CDATA[ 透视麒麟9010:博采众长但依旧任重道远 ]]> 随着华为Pura 70系列手机的发布,两个半导体器件单元又一次成为万众关注的焦点,相比于图像传感器的RYYB技术变革,麒麟9010显然受到的关注更多。作为一款曾经有望成为业内第一颗3nm工艺的应用处理器,因为美国的全面技术管制不得不反复修改其设计和工艺选择,最终华为交出了如今这样水准的麒麟9010着实难得。

麒麟9010让我们更好审视麒麟9000S

从热度上,麒麟9010相比横空出世的麒麟9000S似乎要小不少,毕竟麒麟9000S是华为被完全管控后独立推出的第一款麒麟应用处理器,不仅肩负着为华为IC设计能力正名的机会,更要扛起华为消费电子品牌的未来,否则以华为前面一年多选择骁龙处理器产品带来的手机业务下滑态势,曾经扛起高端手机国产大旗的华为品牌可能面临被边缘化的风险。在这样的内忧外困前提下,华为顶着诸多压力推出麒麟9000S实属无奈之举,这也是麒麟9000S诸多要素到现在都没有披露的重要原因。不过既然该踩的雷和该牵连的队友都已经让麒麟9000S顶风趟出来了,那么麒麟9010面临的压力就明显小了很多,因此诸多媒体似乎终于有机会好好研究一下麒麟9010这款代表华为目前芯片设计水平的处理器了,这也让我们可以从它身上好好分析一下华为的移动应用处理器设计思路。

图片.png

目前在网上能够看到一些所谓自媒体曝光了麒麟9010的芯片底部刻字,笔者个人认为不靠谱或者只是官方的宣传图,毕竟芯片底部刻字标识了生产信息,所谓2035这个被制裁的时间点印在芯片上为了时刻提醒的理由实在牵强。更重要的是,麒麟9010又不外卖,如果想要真的看芯片信息无疑要拆掉PCB上的焊接单元,这对很多自媒体来说似乎要求有些高了。至于从工艺上来说,笔者打听了一下,应该还是跟之前的麒麟9000S一样的工艺水平,可能在良率方面略有提升,整体成本会稍微下降一些,笔者预估大概在2%-3%左右吧。这方面笔者说不太透,建议大家观看TechInsight去年的详细报告,点到为止不多赘述。从现在的部分功耗测试来说,这个工艺的改进目前也比较有限,因为大核的频率下来了但处理器功耗并没有降低多少,从这点上我们可以暂时认为工艺水平保持一致比较合理,这也许是目前非美技术之外能够做到的最先进水平了。

说完最基本的工艺,我们还是更关注性能表现和设计思路方面的变化。相比于少得可怜的麒麟9000S处理器结构,麒麟9010资料倒是相对齐全,各类跑分数据也很详尽。麒麟9010的各种跑分数据目前网上可以查到很多版本,内核测试的基本情况也大抵相似,我们暂时选择其中的一些数据作为参考基础进行分析。首先,麒麟9010的结构方面已经比较明显,按照华为一贯命名规则,麒麟9010应该是麒麟9000S的迭代版本,那么基础结构应该是差不多的。根据目前了解的信息,其大核为一颗2.3GHz的泰山核心,中核为3颗2.18GHz的泰山核心,小核为4颗1.55GHz的A510,GPU是Maleoon 910。从几个内核架构以及华为之前麒麟的设计思路来说,泰山应该是Arm V8架构基础上华为自己开发的内核,大小核都是基于Arm V8架构在保持架构统一性的同时,避免了采用华为无法选择的Arm V9架构,从而规避未来迭代的风险。这种设计风格类似于苹果A系列处理器的内核,也是手机处理器中仅有的两家自行设计内核的厂商。

至于这颗很神秘的Maleoon 910架构未知,但从命名规则猜测似乎有Arm Mali的一些影子,不过海思以前一直用Mali的GPU,而且这颗GPU的优化在麒麟9000S里表现实在不太好,很多游戏的适配性并不好,从这点上看似乎跟Mali架构并不亲近。因此Maleoon 910应该是华为自行开发的GPU架构,目前看性能表现尚可但GPU最大的问题往往是适配性,这个因为麒麟9000S时很多应用还是基于安卓架构开发,所以表现不佳也非常能理解,这点我们文末会继续深入剖析。

 

博采众长:Arm TCS+ Apple Firestorm

1大核+3中核+4小核,麒麟9010这种内核布局像极了Arm在2020年开始的TCS移动计算解决方案的全新架构,虽然华为并不能直接采用Arm的全新内核,但处理器布局设计还是可以借鉴参考的。按照Arm在推出TCS时的介绍,相比于苹果依然沿用的大小核结构,这种三个不同等级内核的结构能够大幅提升处理器的能效,根据不同任务需求进行更好地处理资源分配。相比于9000S,麒麟9010目前看处理器最大的改变就是大核的主频从2.62GHz下降到2.3GHz,但单核的处理能力从1314提升到1442,麒麟9010的IPC值达到了627(作为对比,骁龙8Gen2 IPC值为636。,而骁龙8+ Gen 1 IPC值为578。),相比麒麟9000S的501提升了25%,这意味着在相同的时钟周期内,麒麟9010能够执行更多指令,从而实现更高的运算效率和更强的单线程处理能力。IPC的全称是( Instruction Per Clock,每时钟周期指令数),也就是说在同样的频率下,IPC越高,CPU干的活越多,当然性能就越强。在多核处理器时代,IPC这个指标参考价值更多代表着对复杂任务的处理能力。对处理器来说,增加内核是提升IPC最简单粗暴的办法,但在内核数量一定情况下,提升IPC就只能靠优化处理处理线路、架构和指令集,或者提升缓存效率等方面。按照Arm对Cortex-X新内核的优化路线看,每一代的IPC提升在11%-13%左右,如果超过20%那就意味着有明显的架构大幅优化,比如X3这个系列因为彻底抛弃32位代码而实现性能大幅提升。从这个角度看,麒麟9010的大核架构优化应该是上了一个层次,这是一个非常值得期待的突破点。根据部分数据对比,麒麟9010走的是大IPC低主频策略,因为主频偏低,所以麒麟9010的大核单核虽然IPC方面拥有巨大提升,但整体性能依然落后当前顶级处理器不少。当然,主动降低大核主频,麒麟9010大概是从两个方面进行了权衡,其一是对功耗的控制,高主频意味着高功耗,降低大核主频有助于控制整体功耗,毕竟9000S的功耗表现并不算出色。虽然按Arm一贯的宣传口径,高主频带来高处理能力,这可以让同样负载量的任务用更短时间执行完成,从而整体功耗会大幅降低。但这种思路的适用性在鸿蒙NEXT系统的结构中实际表现如何,也许需要很长时间才能证明。第二个就是对新架构调整的裕量控制,毕竟调整架构之后的实际表现如何,华为受限于被管制无法充分模拟仿真,为了确保Pura 70系列的用户体验,不妨先做个温柔的主频设定看一下大规模应用后的实际效果,如果实际表现达到预期,那么下一代只需要提升主频到2.6,就能够实现至少15%的单核性能提升,这样稳扎稳打的策略适合巩固华为手机的市场份额。

图片.png

图注: Arm TCS的结构基本就是大中小三种内核集成到一个应用处理器上,内核分配和华为麒麟9010S内核基本一致。

 

图片.png

图注:苹果A14的大核微架构和麒麟9010的大核微架构一样,皆为8解码8发射的前端宽度,其中ROB深度更是高达630,同时两者后端的整数执行单元数量也一样为6个

如果说麒麟9000S是被美国全面管控后华为处理器设计思路探索的开路先锋,那么麒麟9010则给出了华为内部探索路线后的一个选择(当然未必以后都是这个方向),那就是选择和Apple Firestorm(那个性能震惊业界的M1,A14的大核整数IPC较麒麟9010的大核高出31%,这主要是工艺受限的原因)一样的路线——低主频高IPC。这个选择意味着什么呢?从瞄准目标上看,意味着麒麟9010处理器瞄准的未来市场更为开阔,更意味着华为对自己的鸿蒙NEXT系统充满信心。低主频带来的是热损耗降低,高IPC带来的是单核处理效率更强大,这种设计更多的是体现了处理器和操作系统之间的默契程度。当年苹果的M1问世第一次让移动处理器从处理性能方面实现了对桌面处理器的超越,受限于散热和尺寸,移动处理器的主频无法跟桌面处理器抗衡,只能大幅修改架构提升IPC来追平处理器性能表现,当然这种性能表现背后的逻辑无疑是封闭的,对于冗余度过高的系统是无法体现优势的,甚至会拖累实际表现。而苹果和华为目前的共通点就是一个封闭的生态系统,这是麒麟9010选择低主频+高IPC设计思路的最大支撑。只不过,苹果的出发点是要代替英特尔的处理器,而华为的选择则有很多无奈,比如无法选择更新的Arm V9架构导致处理器主频提升受限,比如无法选择先进的工艺降低功耗所以只能靠降低主频减少热损耗,比如芯片内传输接口传输的速度也无法做到极致,比如没有先进封装无法在封装方面弥补工艺差距带来的密度不足……

综合来说,麒麟9010的性能该如何定位其实是个比较尴尬的问题,毕竟在工艺、EDA和速率各方面均受限的前提下,麒麟9010性能测试表现出来实际水平略逊于骁龙8 Gen 1的数据(2年前),这已经是很难得的成就了。从工艺上和架构上,麒麟9010大概用三到四年左右差距实现了2年左右的性能差距,对于正常的公司都是值得尊敬的成就,何况是几乎先进技术全面受限的华为呢。

Pura 70 还是要看鸿蒙

摩尔定律映射到手机上的迭代速度是多少现在已经很难统计,不妨我们按照Arm TCS的性能递进速度每年10%左右的速度推测,大概三年左右处理器表现更新一代。那么一款两年前旗舰水平的处理器是否撑得起整机旗舰表现呢?笔者的回答很简单,看操作系统。

基本上已经一统江山的Arm为啥不断拼命提升移动平台的整体性能?一方面是为几个客户提供持续保持竞争力的内核和解决方案,另一方面也是不断优化自己内核IP的设计以巩固自身优势并争夺更多MPU和CPU的市场。从手机器件处理器的性能来看,其实对于95%以上的用户是明显过剩的,最近两年手机市场更新换代速率的下滑虽然大部分厂商归咎于经济下行消费不足的原因,但更主要的原因是旗舰手机的性能足够其支撑三四年而使用体验没有明显下滑。

选手机不等于选处理器,毕竟我们选购手机的目的不是没事跑个分互相比较分值高低,而是要感受手机带来的全方位体验。在这个大前提下,操作系统和处理器的配合要远比处理器跑分的性能更代表手机的实际表现。当年的麒麟9000为何能够在性能上实现对同期骁龙的反超,很大程度是华为对UI的优化和处理器设计是同步的,这一点上,安卓系统可怕的冗余和百花齐放的各种UI无疑让骁龙始终无法像苹果A系列处理器一样轻装上阵。当年苹果的M1芯片强悍的性能表现在Window和IOS上跑分差异可以达到17%以上,说明了封闭系统对硬件性能的激发作用非常重要,而对麒麟9010来说,鸿蒙系统的加持也许能够助其弥补在内核跑分性能方面的诸多不足。多说一点,基于麒麟9000S的Mate 60在某些游戏和图形化应用上的表现不佳,很大程度上来自于相关APP对鸿蒙系统兼容性方面需要专门设计和优化,而最近的12306卡顿问题,也是因为该APP是针对安卓设计的,在鸿蒙OS下优化不够以至于在海量数据情况下的体验不佳。

图片.png

这也就是华为在Pura系列上另一个值得关注的变革,华为计划全面推行鸿蒙NEXT的操作系统,并且在过去的一年多时间里一直在跟各个APP开发者进行基于鸿蒙OS的APP专门设计开发,比如前段时间的微信和华为之间的问题就是双方就专门开发基于鸿蒙OS的微信应用之间的探讨。可以预见在短期的一两年内,这是华为在鸿蒙生态中最主要的工作,也是彻底告别安卓生态的必经阶段。一旦基于鸿蒙专门设计的生态软件APP全部得以实现,那么鸿蒙就如现在的IOS一样,可以更好地管理应用程度对处理器资源的调用,从而实现更高的工作效率,届时硬件差异在实际体验中带给用户的感受差别将会越来越微小。只是这一步要真的走成了,未来整个移动应用生态也许会产生剧变,一如当年淘宝上线免费跨行转账功能一样震撼。

思考及期待

麒麟9010作为一款基本透明的处理器,能够让我们更好地了解目前华为的设计实力以及处理器设计思路。从目前的性能指标上看,麒麟系统的主频短期内可能需要近一步提升以确保单核性能。毕竟对比苹果A16的2大核3.46GHz和4小核的2.02Hz,以及高通骁龙1个3.3GHz X4 超大核 + 3个3.15GHz A720 大核 + 2个2.96GHz A720 大核 + 2个2.27GHz A520,在主频方面受限于工艺的表现可能存在不小的差距,即使架构优化提升了IPC,但毕竟主频差距过大还是会影响单核处理能力。

另一个问题则是工艺和良率。虽然TSMC的3nm据说今年会用到A17上,在华为手机国内竞品方面则最早也要2025年4月才能上市。但是毕竟华为只能用国产工艺,短期内等效密度从7提升到5的可能性不会太大,加上3D封装技术也存在一些受限情况,那么可能未来两三年内麒麟系列处理器的工艺节点不太可能形成突破,或者即使有所突破但良率和成本可能无法支撑起P和M全系的用量,从目前工艺的成本和良率方面信息看,Pura系列的高价格有很大一部分是要为工艺投入埋单了。

最近据说12nm的FDSOI技术量产了,不知道未来是否国内可以参考一下这个特殊工艺,该工艺主要技术来自于欧洲,虽然12nm似乎应用到手机处理器上有点落伍,但如果能够有更好地工艺手段加成,也许等效密度可以达到7甚至5,而FDSOI技术在提升频率方面的优势和平面工艺对封装的低要求,对麒麟系列来说似乎并非没有可取之处,如果用到手机处理器……

]]>
随着华为Pura 70系列手机的发布,两个半导体器件单元又一次成为万众关注的焦点,相比于图像传感器的RYYB技术变革,麒麟9010显然受到的关注更多。作为一款曾经有望成为业内第一颗3nm工艺的应用处理器,因为美国的全面技术管制不得不反复修改其设计和工艺选择,最终华为交出了如今这样水准的麒麟9010着实难得。

麒麟9010让我们更好审视麒麟9000S

从热度上,麒麟9010相比横空出世的麒麟9000S似乎要小不少,毕竟麒麟9000S是华为被完全管控后独立推出的第一款麒麟应用处理器,不仅肩负着为华为IC设计能力正名的机会,更要扛起华为消费电子品牌的未来,否则以华为前面一年多选择骁龙处理器产品带来的手机业务下滑态势,曾经扛起高端手机国产大旗的华为品牌可能面临被边缘化的风险。在这样的内忧外困前提下,华为顶着诸多压力推出麒麟9000S实属无奈之举,这也是麒麟9000S诸多要素到现在都没有披露的重要原因。不过既然该踩的雷和该牵连的队友都已经让麒麟9000S顶风趟出来了,那么麒麟9010面临的压力就明显小了很多,因此诸多媒体似乎终于有机会好好研究一下麒麟9010这款代表华为目前芯片设计水平的处理器了,这也让我们可以从它身上好好分析一下华为的移动应用处理器设计思路。

图片.png

目前在网上能够看到一些所谓自媒体曝光了麒麟9010的芯片底部刻字,笔者个人认为不靠谱或者只是官方的宣传图,毕竟芯片底部刻字标识了生产信息,所谓2035这个被制裁的时间点印在芯片上为了时刻提醒的理由实在牵强。更重要的是,麒麟9010又不外卖,如果想要真的看芯片信息无疑要拆掉PCB上的焊接单元,这对很多自媒体来说似乎要求有些高了。至于从工艺上来说,笔者打听了一下,应该还是跟之前的麒麟9000S一样的工艺水平,可能在良率方面略有提升,整体成本会稍微下降一些,笔者预估大概在2%-3%左右吧。这方面笔者说不太透,建议大家观看TechInsight去年的详细报告,点到为止不多赘述。从现在的部分功耗测试来说,这个工艺的改进目前也比较有限,因为大核的频率下来了但处理器功耗并没有降低多少,从这点上我们可以暂时认为工艺水平保持一致比较合理,这也许是目前非美技术之外能够做到的最先进水平了。

说完最基本的工艺,我们还是更关注性能表现和设计思路方面的变化。相比于少得可怜的麒麟9000S处理器结构,麒麟9010资料倒是相对齐全,各类跑分数据也很详尽。麒麟9010的各种跑分数据目前网上可以查到很多版本,内核测试的基本情况也大抵相似,我们暂时选择其中的一些数据作为参考基础进行分析。首先,麒麟9010的结构方面已经比较明显,按照华为一贯命名规则,麒麟9010应该是麒麟9000S的迭代版本,那么基础结构应该是差不多的。根据目前了解的信息,其大核为一颗2.3GHz的泰山核心,中核为3颗2.18GHz的泰山核心,小核为4颗1.55GHz的A510,GPU是Maleoon 910。从几个内核架构以及华为之前麒麟的设计思路来说,泰山应该是Arm V8架构基础上华为自己开发的内核,大小核都是基于Arm V8架构在保持架构统一性的同时,避免了采用华为无法选择的Arm V9架构,从而规避未来迭代的风险。这种设计风格类似于苹果A系列处理器的内核,也是手机处理器中仅有的两家自行设计内核的厂商。

至于这颗很神秘的Maleoon 910架构未知,但从命名规则猜测似乎有Arm Mali的一些影子,不过海思以前一直用Mali的GPU,而且这颗GPU的优化在麒麟9000S里表现实在不太好,很多游戏的适配性并不好,从这点上看似乎跟Mali架构并不亲近。因此Maleoon 910应该是华为自行开发的GPU架构,目前看性能表现尚可但GPU最大的问题往往是适配性,这个因为麒麟9000S时很多应用还是基于安卓架构开发,所以表现不佳也非常能理解,这点我们文末会继续深入剖析。

 

博采众长:Arm TCS+ Apple Firestorm

1大核+3中核+4小核,麒麟9010这种内核布局像极了Arm在2020年开始的TCS移动计算解决方案的全新架构,虽然华为并不能直接采用Arm的全新内核,但处理器布局设计还是可以借鉴参考的。按照Arm在推出TCS时的介绍,相比于苹果依然沿用的大小核结构,这种三个不同等级内核的结构能够大幅提升处理器的能效,根据不同任务需求进行更好地处理资源分配。相比于9000S,麒麟9010目前看处理器最大的改变就是大核的主频从2.62GHz下降到2.3GHz,但单核的处理能力从1314提升到1442,麒麟9010的IPC值达到了627(作为对比,骁龙8Gen2 IPC值为636。,而骁龙8+ Gen 1 IPC值为578。),相比麒麟9000S的501提升了25%,这意味着在相同的时钟周期内,麒麟9010能够执行更多指令,从而实现更高的运算效率和更强的单线程处理能力。IPC的全称是( Instruction Per Clock,每时钟周期指令数),也就是说在同样的频率下,IPC越高,CPU干的活越多,当然性能就越强。在多核处理器时代,IPC这个指标参考价值更多代表着对复杂任务的处理能力。对处理器来说,增加内核是提升IPC最简单粗暴的办法,但在内核数量一定情况下,提升IPC就只能靠优化处理处理线路、架构和指令集,或者提升缓存效率等方面。按照Arm对Cortex-X新内核的优化路线看,每一代的IPC提升在11%-13%左右,如果超过20%那就意味着有明显的架构大幅优化,比如X3这个系列因为彻底抛弃32位代码而实现性能大幅提升。从这个角度看,麒麟9010的大核架构优化应该是上了一个层次,这是一个非常值得期待的突破点。根据部分数据对比,麒麟9010走的是大IPC低主频策略,因为主频偏低,所以麒麟9010的大核单核虽然IPC方面拥有巨大提升,但整体性能依然落后当前顶级处理器不少。当然,主动降低大核主频,麒麟9010大概是从两个方面进行了权衡,其一是对功耗的控制,高主频意味着高功耗,降低大核主频有助于控制整体功耗,毕竟9000S的功耗表现并不算出色。虽然按Arm一贯的宣传口径,高主频带来高处理能力,这可以让同样负载量的任务用更短时间执行完成,从而整体功耗会大幅降低。但这种思路的适用性在鸿蒙NEXT系统的结构中实际表现如何,也许需要很长时间才能证明。第二个就是对新架构调整的裕量控制,毕竟调整架构之后的实际表现如何,华为受限于被管制无法充分模拟仿真,为了确保Pura 70系列的用户体验,不妨先做个温柔的主频设定看一下大规模应用后的实际效果,如果实际表现达到预期,那么下一代只需要提升主频到2.6,就能够实现至少15%的单核性能提升,这样稳扎稳打的策略适合巩固华为手机的市场份额。

图片.png

图注: Arm TCS的结构基本就是大中小三种内核集成到一个应用处理器上,内核分配和华为麒麟9010S内核基本一致。

 

图片.png

图注:苹果A14的大核微架构和麒麟9010的大核微架构一样,皆为8解码8发射的前端宽度,其中ROB深度更是高达630,同时两者后端的整数执行单元数量也一样为6个

如果说麒麟9000S是被美国全面管控后华为处理器设计思路探索的开路先锋,那么麒麟9010则给出了华为内部探索路线后的一个选择(当然未必以后都是这个方向),那就是选择和Apple Firestorm(那个性能震惊业界的M1,A14的大核整数IPC较麒麟9010的大核高出31%,这主要是工艺受限的原因)一样的路线——低主频高IPC。这个选择意味着什么呢?从瞄准目标上看,意味着麒麟9010处理器瞄准的未来市场更为开阔,更意味着华为对自己的鸿蒙NEXT系统充满信心。低主频带来的是热损耗降低,高IPC带来的是单核处理效率更强大,这种设计更多的是体现了处理器和操作系统之间的默契程度。当年苹果的M1问世第一次让移动处理器从处理性能方面实现了对桌面处理器的超越,受限于散热和尺寸,移动处理器的主频无法跟桌面处理器抗衡,只能大幅修改架构提升IPC来追平处理器性能表现,当然这种性能表现背后的逻辑无疑是封闭的,对于冗余度过高的系统是无法体现优势的,甚至会拖累实际表现。而苹果和华为目前的共通点就是一个封闭的生态系统,这是麒麟9010选择低主频+高IPC设计思路的最大支撑。只不过,苹果的出发点是要代替英特尔的处理器,而华为的选择则有很多无奈,比如无法选择更新的Arm V9架构导致处理器主频提升受限,比如无法选择先进的工艺降低功耗所以只能靠降低主频减少热损耗,比如芯片内传输接口传输的速度也无法做到极致,比如没有先进封装无法在封装方面弥补工艺差距带来的密度不足……

综合来说,麒麟9010的性能该如何定位其实是个比较尴尬的问题,毕竟在工艺、EDA和速率各方面均受限的前提下,麒麟9010性能测试表现出来实际水平略逊于骁龙8 Gen 1的数据(2年前),这已经是很难得的成就了。从工艺上和架构上,麒麟9010大概用三到四年左右差距实现了2年左右的性能差距,对于正常的公司都是值得尊敬的成就,何况是几乎先进技术全面受限的华为呢。

Pura 70 还是要看鸿蒙

摩尔定律映射到手机上的迭代速度是多少现在已经很难统计,不妨我们按照Arm TCS的性能递进速度每年10%左右的速度推测,大概三年左右处理器表现更新一代。那么一款两年前旗舰水平的处理器是否撑得起整机旗舰表现呢?笔者的回答很简单,看操作系统。

基本上已经一统江山的Arm为啥不断拼命提升移动平台的整体性能?一方面是为几个客户提供持续保持竞争力的内核和解决方案,另一方面也是不断优化自己内核IP的设计以巩固自身优势并争夺更多MPU和CPU的市场。从手机器件处理器的性能来看,其实对于95%以上的用户是明显过剩的,最近两年手机市场更新换代速率的下滑虽然大部分厂商归咎于经济下行消费不足的原因,但更主要的原因是旗舰手机的性能足够其支撑三四年而使用体验没有明显下滑。

选手机不等于选处理器,毕竟我们选购手机的目的不是没事跑个分互相比较分值高低,而是要感受手机带来的全方位体验。在这个大前提下,操作系统和处理器的配合要远比处理器跑分的性能更代表手机的实际表现。当年的麒麟9000为何能够在性能上实现对同期骁龙的反超,很大程度是华为对UI的优化和处理器设计是同步的,这一点上,安卓系统可怕的冗余和百花齐放的各种UI无疑让骁龙始终无法像苹果A系列处理器一样轻装上阵。当年苹果的M1芯片强悍的性能表现在Window和IOS上跑分差异可以达到17%以上,说明了封闭系统对硬件性能的激发作用非常重要,而对麒麟9010来说,鸿蒙系统的加持也许能够助其弥补在内核跑分性能方面的诸多不足。多说一点,基于麒麟9000S的Mate 60在某些游戏和图形化应用上的表现不佳,很大程度上来自于相关APP对鸿蒙系统兼容性方面需要专门设计和优化,而最近的12306卡顿问题,也是因为该APP是针对安卓设计的,在鸿蒙OS下优化不够以至于在海量数据情况下的体验不佳。

图片.png

这也就是华为在Pura系列上另一个值得关注的变革,华为计划全面推行鸿蒙NEXT的操作系统,并且在过去的一年多时间里一直在跟各个APP开发者进行基于鸿蒙OS的APP专门设计开发,比如前段时间的微信和华为之间的问题就是双方就专门开发基于鸿蒙OS的微信应用之间的探讨。可以预见在短期的一两年内,这是华为在鸿蒙生态中最主要的工作,也是彻底告别安卓生态的必经阶段。一旦基于鸿蒙专门设计的生态软件APP全部得以实现,那么鸿蒙就如现在的IOS一样,可以更好地管理应用程度对处理器资源的调用,从而实现更高的工作效率,届时硬件差异在实际体验中带给用户的感受差别将会越来越微小。只是这一步要真的走成了,未来整个移动应用生态也许会产生剧变,一如当年淘宝上线免费跨行转账功能一样震撼。

思考及期待

麒麟9010作为一款基本透明的处理器,能够让我们更好地了解目前华为的设计实力以及处理器设计思路。从目前的性能指标上看,麒麟系统的主频短期内可能需要近一步提升以确保单核性能。毕竟对比苹果A16的2大核3.46GHz和4小核的2.02Hz,以及高通骁龙1个3.3GHz X4 超大核 + 3个3.15GHz A720 大核 + 2个2.96GHz A720 大核 + 2个2.27GHz A520,在主频方面受限于工艺的表现可能存在不小的差距,即使架构优化提升了IPC,但毕竟主频差距过大还是会影响单核处理能力。

另一个问题则是工艺和良率。虽然TSMC的3nm据说今年会用到A17上,在华为手机国内竞品方面则最早也要2025年4月才能上市。但是毕竟华为只能用国产工艺,短期内等效密度从7提升到5的可能性不会太大,加上3D封装技术也存在一些受限情况,那么可能未来两三年内麒麟系列处理器的工艺节点不太可能形成突破,或者即使有所突破但良率和成本可能无法支撑起P和M全系的用量,从目前工艺的成本和良率方面信息看,Pura系列的高价格有很大一部分是要为工艺投入埋单了。

最近据说12nm的FDSOI技术量产了,不知道未来是否国内可以参考一下这个特殊工艺,该工艺主要技术来自于欧洲,虽然12nm似乎应用到手机处理器上有点落伍,但如果能够有更好地工艺手段加成,也许等效密度可以达到7甚至5,而FDSOI技术在提升频率方面的优势和平面工艺对封装的低要求,对麒麟系列来说似乎并非没有可取之处,如果用到手机处理器……

]]>
http://www.amcfsurvey.com/article/202404/457968.htm Tue, 23 Apr 2024 15:24:42 +0800
<![CDATA[ 智能驾驶实测有多强——IDC《智能驾驶能力评估,2024》研究发布 ]]>

全球视野下,智能驾驶无疑是当今汽车领域最为炙手可热的议题。在政策激励、技术创新与用户认知深化等因素的共同驱动下,中国乘用车智能驾驶发展已然进入新篇章。随着小米汽车入局,产业界、消费者对于汽车智能化及智驾话题的讨论热度再度升级。同时,恰逢本周北京车展开幕在即,各路汽车制造商及核心零部件供应商蓄势待发,都将携最新一代智能驾驶产品和技术成果亮相,智能驾驶无疑再将成为焦点。

当前中国市场各品牌车型智能驾驶基准水平是什么?有哪些关键与前沿技术?市场对智能驾驶发展可以有何期待?在此背景下,IDC发布了《智能驾驶能力评估,2024》(Doc# CHC50962524,2024年4月)研究(请点击文章左下角“阅读原文”获取报告全文)。研究通过实车测试,并基于IDC咨询对车企、技术供应商的智能驾驶软件、硬件的调研基础,形成对车企智能驾驶体验效果的综合评估,并梳理出关键的市场观点及趋势。

高阶辅助驾驶功能是市场竞争焦点,部分品牌已取得领先优势:

●   智能驾驶市场发展现状:基于当前技术现状和法规要求,L2级别的组合驾驶辅助功能已成为各大汽车品牌竞逐的核心战场。随着主动安全等辅助驾驶功能日益完善,能够体现产品独特性和企业核心技术优势的高阶辅助驾驶功能开始成为企业竞相投入的方向和宣传重点。

●   本次研究评估结果:在此市场情况下,本次研究重点评估各品牌的智驾车型覆盖度、泊车能力、行车能力三大维度。品牌方面,聚焦极越、理想、特斯拉、问界、蔚来、小鹏(排名不分先后,按照企业简称的首字母顺序排序)六个汽车品牌。

image.png

从整体结果来看,IDC有如下分析:

1.尽管高阶智驾功能上车成为必然趋势,但搭载高阶智能驾驶功能的车型售价难以下沉至25万元人民币以下区间或需要用户付费选装,这无形中构成了普通消费者使用的准入壁垒。

2.各品牌自动泊车普遍拥有较高水平:各品牌车型在标准划线的垂直车位、侧方车位等停车场景的停入成功率、停车效率都具有不错表现。但在对于未划线的自定义车位停车、停车途中会车、车位识别成功率的稳定性方面,各车型处理能力普遍需要提升。

3.领航辅助驾驶功能效果差异明显:

1)  在高速领航辅助驾驶表现突出的品牌,在车流正常工况下表现可靠,能顺利完成车道行驶、上下匝道、合理并线、超车等任务,但在车流量大的匝道进出场景中车辆的决策能力仍有待改善。其余品牌的高速领航辅助驾驶使用场景相对受限,或在车流较少的进出匝道场景仍面临挑战。

2)  在城区领航辅助驾驶测评中,少数领先品牌的车型能够基本实现端到端的自动驾驶任务,能够完成具有较高难度的无保护左转、车辆密集情况下的右转、掉头等任务。绝大部分车型的城区领航辅助驾驶功能存在较多不可用区域,面对复杂场景无法完成任务或预留足够时间提醒接管,易出现急刹、突然要求接管等操作。除了驾驶任务外,IDC注意到,各品牌普遍能够提供清晰及时的驾驶意图交互,如通过文字、导航画面、语音解说将车辆的规划路线具象化,大大提升驾驶员对领航辅助驾驶系统的信心和理解。

智驾效果提升,得益于算法、算力和数据开发等多个维度的智能驾驶技术突破

image.png

●   Transformer架构在智能驾驶领域的成熟运用及工程实践,极大地增强了算法的感知能力。决策规划模块正由规则导向逐步向模型形态转变,从而为提升车辆的自主决策与应对复杂路况能力提供了发展空间。不仅如此,企业已经开始对整个感知-规划环节的端到端算法进行深度探索与实际部署,这一系列努力为进一步实现高效的辅助驾驶乃至自动驾驶奠定了基础。

●   在算力层面,针对智能驾驶领域的大规模计算需求,企业积极研发和应用高性能、专用型NPU芯片,这种定制化的芯片为车端运行大规模神经网络和复杂模型提供了强大支撑。

●   数据是智能驾驶技术迭代的关键要素。当前,已有企业能够将数据采集、处理、模型训练、仿真直至最终车载应用的全过程构建为一个完整的数据闭环,推动软件版本的迭代速度显著提升,使得一日之内完成多个版本迭代成为可能,有效驱动智能驾驶能力的快速升级。

以AI投入驱动智能驾驶发展、无人驾驶应用场景拓展是未来重要的市场趋势:

●   AI技术作为智能驾驶进步的核心驱动力,其快速迭代将显著提升智能驾驶效果、推动功能快速渗透: AI技术迎来一系列突破性进展,让市场见证其在推动智驾效果上的巨大潜力。未来,随着智驾数据量的积累、视觉大模型及多模态大模型技术框架与效果的提升,加之企业对AGI技术的探索深化,智能驾驶在感知的准确性、感知物体丰富度、场景“理解”、复杂工况决策判断的能力有望达到新的高度,以此形成整体智驾效果的增强。同时得益于算法的提升,智能驾驶将有望逐步摆脱对特定硬件设施的高度依赖,转而协助车企为更多用户提供成本效益更高、不受地理区域限制的智驾出行体验,加速智能驾驶汽车的渗透。

●   无人驾驶应用场景有潜力在乘用车领域得到探索与拓展:当前,乘用车搭载的辅助驾驶功能已在市场较高渗透并得到亮眼发展,这股势头无疑为迈向智能驾驶最高境界——无人驾驶提供了强有力的牵引。伴随关键技术瓶颈的突破、企业界的大规模研发投入及政策层面的积极推动,自动驾驶应用不仅有望在矿卡无人作业、港口自动化运输、低速配送等应用场景得到深度和广度上的双重深化,无人驾驶技术的新一轮应用探索也即将启幕。例如乘用车低速场景下的自动泊车寻位、自动寻找电桩等功能。

分析师观点

1713845919529985.jpg

IDC中国高级分析师洪婉婷表示,随着各企业在智能驾驶领域投资不断加码、搭载芯片算力不断扩充、软件版本快速迭代,中国智能驾驶市场的发展已然揭开崭新的篇章。当前市场格局中,各品牌车型在泊车辅助以及高速领航辅助驾驶功能上表现出较高的同质化水平,但部分行业领军企业已率先在全域领航辅助驾驶技术上取得了显著的优势地位。展望未来,中国智能驾驶市场的竞争将进一步白热化,企业能否在该领域确立竞争优势,将有赖于其在智能驾驶技术研发上的持久投入、对智驾数据资产的有效管理和高效利用,以及不断提升自身产品在安全性能与可靠性方面的卓越表现。

IDC中国更多相关研究:

●   IDC Perspective:自动驾驶全栈能力分析,2023(IDC# CHC50353523, 2023年7月)

●   IDC Perspective:中国车联网市场洞察,2023 (IDC #CHC50354123,2023年4月)

●   IDC MarketShare:中国自动驾驶开发平台市场份额,2022(IDC #CHC50353123,2023年4月)

●   IDC Perspective:大模型赋能的自动驾驶现状与市场机会分析,2023(#CHC50353923,2023年12月)


免责声明

本文中的内容和数据均来源于IDC所发布的报告,所有内容及数据均为我公司所有。未经IDC书面许可,任何机构和个人不得以任何形式翻版、复制、刊登、发表或引用。


]]>

全球视野下,智能驾驶无疑是当今汽车领域最为炙手可热的议题。在政策激励、技术创新与用户认知深化等因素的共同驱动下,中国乘用车智能驾驶发展已然进入新篇章。随着小米汽车入局,产业界、消费者对于汽车智能化及智驾话题的讨论热度再度升级。同时,恰逢本周北京车展开幕在即,各路汽车制造商及核心零部件供应商蓄势待发,都将携最新一代智能驾驶产品和技术成果亮相,智能驾驶无疑再将成为焦点。

当前中国市场各品牌车型智能驾驶基准水平是什么?有哪些关键与前沿技术?市场对智能驾驶发展可以有何期待?在此背景下,IDC发布了《智能驾驶能力评估,2024》(Doc# CHC50962524,2024年4月)研究(请点击文章左下角“阅读原文”获取报告全文)。研究通过实车测试,并基于IDC咨询对车企、技术供应商的智能驾驶软件、硬件的调研基础,形成对车企智能驾驶体验效果的综合评估,并梳理出关键的市场观点及趋势。

高阶辅助驾驶功能是市场竞争焦点,部分品牌已取得领先优势:

●   智能驾驶市场发展现状:基于当前技术现状和法规要求,L2级别的组合驾驶辅助功能已成为各大汽车品牌竞逐的核心战场。随着主动安全等辅助驾驶功能日益完善,能够体现产品独特性和企业核心技术优势的高阶辅助驾驶功能开始成为企业竞相投入的方向和宣传重点。

●   本次研究评估结果:在此市场情况下,本次研究重点评估各品牌的智驾车型覆盖度、泊车能力、行车能力三大维度。品牌方面,聚焦极越、理想、特斯拉、问界、蔚来、小鹏(排名不分先后,按照企业简称的首字母顺序排序)六个汽车品牌。

image.png

从整体结果来看,IDC有如下分析:

1.尽管高阶智驾功能上车成为必然趋势,但搭载高阶智能驾驶功能的车型售价难以下沉至25万元人民币以下区间或需要用户付费选装,这无形中构成了普通消费者使用的准入壁垒。

2.各品牌自动泊车普遍拥有较高水平:各品牌车型在标准划线的垂直车位、侧方车位等停车场景的停入成功率、停车效率都具有不错表现。但在对于未划线的自定义车位停车、停车途中会车、车位识别成功率的稳定性方面,各车型处理能力普遍需要提升。

3.领航辅助驾驶功能效果差异明显:

1)  在高速领航辅助驾驶表现突出的品牌,在车流正常工况下表现可靠,能顺利完成车道行驶、上下匝道、合理并线、超车等任务,但在车流量大的匝道进出场景中车辆的决策能力仍有待改善。其余品牌的高速领航辅助驾驶使用场景相对受限,或在车流较少的进出匝道场景仍面临挑战。

2)  在城区领航辅助驾驶测评中,少数领先品牌的车型能够基本实现端到端的自动驾驶任务,能够完成具有较高难度的无保护左转、车辆密集情况下的右转、掉头等任务。绝大部分车型的城区领航辅助驾驶功能存在较多不可用区域,面对复杂场景无法完成任务或预留足够时间提醒接管,易出现急刹、突然要求接管等操作。除了驾驶任务外,IDC注意到,各品牌普遍能够提供清晰及时的驾驶意图交互,如通过文字、导航画面、语音解说将车辆的规划路线具象化,大大提升驾驶员对领航辅助驾驶系统的信心和理解。

智驾效果提升,得益于算法、算力和数据开发等多个维度的智能驾驶技术突破

image.png

●   Transformer架构在智能驾驶领域的成熟运用及工程实践,极大地增强了算法的感知能力。决策规划模块正由规则导向逐步向模型形态转变,从而为提升车辆的自主决策与应对复杂路况能力提供了发展空间。不仅如此,企业已经开始对整个感知-规划环节的端到端算法进行深度探索与实际部署,这一系列努力为进一步实现高效的辅助驾驶乃至自动驾驶奠定了基础。

●   在算力层面,针对智能驾驶领域的大规模计算需求,企业积极研发和应用高性能、专用型NPU芯片,这种定制化的芯片为车端运行大规模神经网络和复杂模型提供了强大支撑。

●   数据是智能驾驶技术迭代的关键要素。当前,已有企业能够将数据采集、处理、模型训练、仿真直至最终车载应用的全过程构建为一个完整的数据闭环,推动软件版本的迭代速度显著提升,使得一日之内完成多个版本迭代成为可能,有效驱动智能驾驶能力的快速升级。

以AI投入驱动智能驾驶发展、无人驾驶应用场景拓展是未来重要的市场趋势:

●   AI技术作为智能驾驶进步的核心驱动力,其快速迭代将显著提升智能驾驶效果、推动功能快速渗透: AI技术迎来一系列突破性进展,让市场见证其在推动智驾效果上的巨大潜力。未来,随着智驾数据量的积累、视觉大模型及多模态大模型技术框架与效果的提升,加之企业对AGI技术的探索深化,智能驾驶在感知的准确性、感知物体丰富度、场景“理解”、复杂工况决策判断的能力有望达到新的高度,以此形成整体智驾效果的增强。同时得益于算法的提升,智能驾驶将有望逐步摆脱对特定硬件设施的高度依赖,转而协助车企为更多用户提供成本效益更高、不受地理区域限制的智驾出行体验,加速智能驾驶汽车的渗透。

●   无人驾驶应用场景有潜力在乘用车领域得到探索与拓展:当前,乘用车搭载的辅助驾驶功能已在市场较高渗透并得到亮眼发展,这股势头无疑为迈向智能驾驶最高境界——无人驾驶提供了强有力的牵引。伴随关键技术瓶颈的突破、企业界的大规模研发投入及政策层面的积极推动,自动驾驶应用不仅有望在矿卡无人作业、港口自动化运输、低速配送等应用场景得到深度和广度上的双重深化,无人驾驶技术的新一轮应用探索也即将启幕。例如乘用车低速场景下的自动泊车寻位、自动寻找电桩等功能。

分析师观点

1713845919529985.jpg

IDC中国高级分析师洪婉婷表示,随着各企业在智能驾驶领域投资不断加码、搭载芯片算力不断扩充、软件版本快速迭代,中国智能驾驶市场的发展已然揭开崭新的篇章。当前市场格局中,各品牌车型在泊车辅助以及高速领航辅助驾驶功能上表现出较高的同质化水平,但部分行业领军企业已率先在全域领航辅助驾驶技术上取得了显著的优势地位。展望未来,中国智能驾驶市场的竞争将进一步白热化,企业能否在该领域确立竞争优势,将有赖于其在智能驾驶技术研发上的持久投入、对智驾数据资产的有效管理和高效利用,以及不断提升自身产品在安全性能与可靠性方面的卓越表现。

IDC中国更多相关研究:

●   IDC Perspective:自动驾驶全栈能力分析,2023(IDC# CHC50353523, 2023年7月)

●   IDC Perspective:中国车联网市场洞察,2023 (IDC #CHC50354123,2023年4月)

●   IDC MarketShare:中国自动驾驶开发平台市场份额,2022(IDC #CHC50353123,2023年4月)

●   IDC Perspective:大模型赋能的自动驾驶现状与市场机会分析,2023(#CHC50353923,2023年12月)


免责声明

本文中的内容和数据均来源于IDC所发布的报告,所有内容及数据均为我公司所有。未经IDC书面许可,任何机构和个人不得以任何形式翻版、复制、刊登、发表或引用。


]]>
http://www.amcfsurvey.com/article/202404/457967.htm Tue, 23 Apr 2024 12:16:05 +0800
<![CDATA[ BMS电池管理系统中的磁性元件:这样选,就对了! ]]> 在节能减碳的大背景下,全球能源结构正在发生深刻的变化,其中一个重要的标志就是,以锂离子电池(以下简称锂电池)为代表的储能技术,将在未来的能源基础设施中扮演着重要的角色。


BMS电池管理系统中的磁性元件:这样选,就对了!


在可以预见的未来,无论是驱动新能源汽车的动力电池,还是方兴未艾的能源储存系统中的储能电池,都将迎来一个令人兴奋的“牛市”。根据波士顿咨询公司与中国汽车工程学会发布的联合研究报告,预计到2030年,全球锂电池的需求规模将达到4太瓦时(TWh)。

不可或缺的BMS系统

伴随着锂电池应用的发展,另一个技术的重要性也越发凸显出来,这就是电池管理系统(BMS)。BMS通常会与锂电池包集成在一起,作为管理和监控锂电池的中枢,监控电池的状态,防止电池出现过充电和过放电等情况,确保电池安全可靠地工作,并尽可能延长电池的使用寿命。具体来讲,BMS的主要功能包括:

状态监测

对电池组的SOC(荷电状态)、SOP(功率状态)和SOH(健康状态)等状态参数进行准确地测量和估算,为更优的控制决策提供依据。

能量均衡

电池组中单个电池之间性能表现的差异,会对电池的寿命和系统的使用产生不良影响,能量均衡就是通过弥补电池个体之间的差异,确保其一致性,以延长电池组整体使用寿命。

保护功能

BMS通常会提供可靠的过充、过放、过流、过温、低温保护,以及多级故障诊断保护,以确保在发生故障时做出快速响应。

数据通信

通过有线或者无线方式,BMS可以与主控单元以及其他车载设备,或者是云端进行数据交互,实现更智能化的管理。

由此不难看出,BMS就是对锂电池进行监测、分析、控制、反馈的一整套自动化控制系统,是确保电池组高效和安全运作不可或缺的一环。随着锂电池市场的快速发展,BMS的应用版图也在不断扩大,如何打造出适应市场发展要求的BMS解决方案,也就成了一个核心课题。


BMS电池管理系统中的磁性元件:这样选,就对了!

图1:通用汽车充电系统中,BMS是不可或缺的一环(图源:Bourns)

BMS系统中的磁性元件

作为一个典型的功率电子系统,BMS的开发中自然少不了磁性元件的支撑。

磁性元件虽然看上去不起眼,却是功率电子系统重要的组成要素之一,它们通常由绕组和磁芯构成,承担着储能、能量转换及电气隔离等工作。常见的磁性元件包括变压器和电感器两大类,前者主要扮演变压、滤波、储能的角色,后者则承担着平滑电源信号、解决EMI噪声等任务。

想要开发出一款优秀的BMS方案,磁性元件的选型和应用是少不了的功课。

结合BMS的典型应用场景,比如车载动力电池组中BMS(如图1)的设计需求,我们可以大体描画出BMS设计中理想磁性元件的样子:

高效率

通过先进的材料、设计结构和工艺,实现超低的DC电阻,提供更高的效率,这是衡量磁性元件性能优劣的一个硬指标。

小型化

更紧凑的外形,可满足当今功率电子系统更高频率开关的要求,且能够有效应对系统复杂性增加、设计空间受限等挑战。

低EMI噪声

磁性元件(如功率电感)工作时,会产生EMI噪声,如何有效防止电磁波的“外泄”,是磁性元件设计和应用时的一个重要考量因素。

热管理

一方面要通过提升效率减小热应力的影响,另一方面要求元件自身具有更佳的温度稳定性,这对于功率系统整体的热管理优化大有裨益。

稳健性和可靠性

确保系统可靠稳定运行,更大限度地延长产品寿命,这是锂电池和BMS系统典型应用场景的要求。

安全性

由于锂电池系统会采用高压电池,因此需要有更多安全性方面的考量(比如高压和低压域之间的可靠隔离),以避免人员或电路受到安全威胁。这就需要磁性元件在实现更紧凑设计的同时,还要符合UL和IEC隔离、爬电距离和间隙等安全标准。

作为全球知名的元器件厂商,Bourns拥有超过50年的磁性元件开发经验,可提供包括变压器、功率电感、大电流共模扼流圈等在内非常丰富的磁性产品组合,满足BMS系统设计中电源转换、隔离、EMC、信号完整性和功率密度等规格要求。

在下文中,我们将向大家介绍三款来自Bourns的产品,借此一起探究高效、安全、可靠的功率系统设计,该选择什么样的磁性元件。

SRP大电流功率电感器

功率电感器是稳压器拓扑中的重要组件,在DC-DC转换器中,每个调节电源的电路都需要一个电感器,以实现存储能量、平顺直流电源、滤除电路噪声等作用。

具体到BMS的设计中,功率电感器的选型和应用面临着三方面的挑战:首先,它需要能够满足更大功率的要求,支持更大的电流;其次,还要有抑制EMI的能力,满足汽车和工业应用环境中严苛的EMC设计要求;再有,在外形上也要更为紧凑,为有限空间应用设计提供更大的灵活性。

按照上述的设计要求选型,Bourns SRP系列大电流功率电感器无疑是一个理想的选择。归纳起来,SRP系列功率电感器的“过人之处”体现在:

  • 具有独特的磁屏蔽结构,可以实现低EMI辐射,因此无需在电路系统中使用额外的屏蔽罩,节省空间和成本。

  • 采用了扁平导线结构,有助于降低DCR电阻,实现更高的效率。

  • 采用金属合金粉末磁芯,支持大饱和电流,非常适合于大电流、高功率应用。

  • 同时,扁平导线和粉末磁芯,也有利于实现更紧凑的外形,节省系统空间。

  • 基于高温分级材料制成,具有更出色的温度稳定性,支持高达150°C的工作温度。

总而言之,SRP系列功率电感器采用了先进的材料和结构,可实现高效和超低DC电阻,以及紧凑高效的封装(元件高度范围从1mm至7mm),屏蔽式的设计还优化了EMI特性——这对于BMS这种控制电路和功率电路毗邻的设计,十分必要。可以说,SRP系列功率电感器集上述多种优势于一身,在BMS磁性元件选型时,是不可错过的一颗料。

BMS电池管理系统中的磁性元件:这样选,就对了!

图2:SRP大电流功率电感器(图源:Bourns)

高效高安全性的隔离变压器

在BMS设计中,推挽式隔离DC-DC转换器因其出色的特性——如更稳定的输入电流、更少的输入线路噪声,以及高功率应用中的高效率——成为了一种常用的拓扑结构。想要实现推挽式低压隔离DC-DC转换器的设计,一款高效而安全的推挽式变压器肯定少不了。

Bourns符合AEC-Q200标准的HCT系列电源变压器,就是为此应用而生的。

BMS电池管理系统中的磁性元件:这样选,就对了!

图3:HCT系列电源变压器(图源:Bourns)

HCT系列变压器具有3.3V至5V的输入范围,并提供3.3V至15V、高达350mA的输出,可配置为各种匝数比。该系列电源变压器采用铁氧体环形磁芯,具有高耦合系数和高效率。

特别值得一提的是,由于采用了创新的爬电距离路径设计,在紧凑的封装中增加了电流路径长度,这种新型的电源变压器虽然外形紧凑,外壳高度仅有6.5mm,却可提供增强绝缘、至少8mm的电气间隙/爬电距离,以及5kVAC的耐压,能够满足高规格的高压隔离要求。


BMS电池管理系统中的磁性元件:这样选,就对了!

图4:HCT系列变压器采用创新的爬电距离路径设计,获得了8mm的爬电距离(图源:Bourns)

简而言之,HCT系列高压隔离推挽变压器符合AEC-Q200、IEC 60950-1、IEC 62368-1以及IEC 60664-1等行业标准,为BMS中需要低直流功率与高压隔离的场景提供了一种理想解决方案。

高性能BMS信号变压器

从BMS的工作原理来看,它需要将电池状态、数据和命令通过有线接口与主控CPU进行通信,这些数据和信息的交互,是BMS实现高效、可靠闭环控制的关键。不幸的是,锂电池组的高电压,加上应用环境(如电动汽车动力系统)中存在的大量电磁干扰,都会对BMS数据通信造成不良影响。为了实现BMS与主控CPU之间的可靠通信,就需要能够提供高压隔离和EMI抑制的BMS信号变压器登场了。

Bourns的SM91501AL BMS信号变压器是一款双通道隔离变压器模块,支持串行菊花链/isoSPI,具有1600VDC工作电压,4300VDC隔离电压和-40°C至+125°C的扩展工作温度范围。该信号变压器集成了用于噪声抑制的共模扼流圈,能够实现更佳的信号的完整性。

SM91501AL符合AEC-Q200标准和RoHS指令,并且已经应用在Analog Devices和NXP的多款BMS参考设计中,经过了实际应用的验证,有助于节省开发时间并大幅降低设计风险。


BMS电池管理系统中的磁性元件:这样选,就对了!

图5:SM91501AL BMS信号变压器(图源:Bourns)

本文小结

在可以预见的未来,BMS市场将伴随着锂电池应用的发展,进入一个快速上升通道,这也为相关的元器件带来新的商机。对于功率电子系统中不可或缺的磁性元件,更是如此。

当然,能够搭上BMS市场快车的磁性元件产品,需要满足一系列特殊的设计要求,在效率、热性能、EMI特性、小型化设计、可靠性和安全性等方面,必须有异于通用产品的突出优势。

Bourns依托数十年的专业积累,可以为BMS设计提供完整的磁性元件产品组合。通过本文的介绍,你应该能够体会到:想要开发出高效、安全、可靠,具有竞争力的BMS产品,从中选出你心仪的物料,就对了!

文章来源:贸泽电子

]]>
在节能减碳的大背景下,全球能源结构正在发生深刻的变化,其中一个重要的标志就是,以锂离子电池(以下简称锂电池)为代表的储能技术,将在未来的能源基础设施中扮演着重要的角色。


BMS电池管理系统中的磁性元件:这样选,就对了!


在可以预见的未来,无论是驱动新能源汽车的动力电池,还是方兴未艾的能源储存系统中的储能电池,都将迎来一个令人兴奋的“牛市”。根据波士顿咨询公司与中国汽车工程学会发布的联合研究报告,预计到2030年,全球锂电池的需求规模将达到4太瓦时(TWh)。

不可或缺的BMS系统

伴随着锂电池应用的发展,另一个技术的重要性也越发凸显出来,这就是电池管理系统(BMS)。BMS通常会与锂电池包集成在一起,作为管理和监控锂电池的中枢,监控电池的状态,防止电池出现过充电和过放电等情况,确保电池安全可靠地工作,并尽可能延长电池的使用寿命。具体来讲,BMS的主要功能包括:

状态监测

对电池组的SOC(荷电状态)、SOP(功率状态)和SOH(健康状态)等状态参数进行准确地测量和估算,为更优的控制决策提供依据。

能量均衡

电池组中单个电池之间性能表现的差异,会对电池的寿命和系统的使用产生不良影响,能量均衡就是通过弥补电池个体之间的差异,确保其一致性,以延长电池组整体使用寿命。

保护功能

BMS通常会提供可靠的过充、过放、过流、过温、低温保护,以及多级故障诊断保护,以确保在发生故障时做出快速响应。

数据通信

通过有线或者无线方式,BMS可以与主控单元以及其他车载设备,或者是云端进行数据交互,实现更智能化的管理。

由此不难看出,BMS就是对锂电池进行监测、分析、控制、反馈的一整套自动化控制系统,是确保电池组高效和安全运作不可或缺的一环。随着锂电池市场的快速发展,BMS的应用版图也在不断扩大,如何打造出适应市场发展要求的BMS解决方案,也就成了一个核心课题。


BMS电池管理系统中的磁性元件:这样选,就对了!

图1:通用汽车充电系统中,BMS是不可或缺的一环(图源:Bourns)

BMS系统中的磁性元件

作为一个典型的功率电子系统,BMS的开发中自然少不了磁性元件的支撑。

磁性元件虽然看上去不起眼,却是功率电子系统重要的组成要素之一,它们通常由绕组和磁芯构成,承担着储能、能量转换及电气隔离等工作。常见的磁性元件包括变压器和电感器两大类,前者主要扮演变压、滤波、储能的角色,后者则承担着平滑电源信号、解决EMI噪声等任务。

想要开发出一款优秀的BMS方案,磁性元件的选型和应用是少不了的功课。

结合BMS的典型应用场景,比如车载动力电池组中BMS(如图1)的设计需求,我们可以大体描画出BMS设计中理想磁性元件的样子:

高效率

通过先进的材料、设计结构和工艺,实现超低的DC电阻,提供更高的效率,这是衡量磁性元件性能优劣的一个硬指标。

小型化

更紧凑的外形,可满足当今功率电子系统更高频率开关的要求,且能够有效应对系统复杂性增加、设计空间受限等挑战。

低EMI噪声

磁性元件(如功率电感)工作时,会产生EMI噪声,如何有效防止电磁波的“外泄”,是磁性元件设计和应用时的一个重要考量因素。

热管理

一方面要通过提升效率减小热应力的影响,另一方面要求元件自身具有更佳的温度稳定性,这对于功率系统整体的热管理优化大有裨益。

稳健性和可靠性

确保系统可靠稳定运行,更大限度地延长产品寿命,这是锂电池和BMS系统典型应用场景的要求。

安全性

由于锂电池系统会采用高压电池,因此需要有更多安全性方面的考量(比如高压和低压域之间的可靠隔离),以避免人员或电路受到安全威胁。这就需要磁性元件在实现更紧凑设计的同时,还要符合UL和IEC隔离、爬电距离和间隙等安全标准。

作为全球知名的元器件厂商,Bourns拥有超过50年的磁性元件开发经验,可提供包括变压器、功率电感、大电流共模扼流圈等在内非常丰富的磁性产品组合,满足BMS系统设计中电源转换、隔离、EMC、信号完整性和功率密度等规格要求。

在下文中,我们将向大家介绍三款来自Bourns的产品,借此一起探究高效、安全、可靠的功率系统设计,该选择什么样的磁性元件。

SRP大电流功率电感器

功率电感器是稳压器拓扑中的重要组件,在DC-DC转换器中,每个调节电源的电路都需要一个电感器,以实现存储能量、平顺直流电源、滤除电路噪声等作用。

具体到BMS的设计中,功率电感器的选型和应用面临着三方面的挑战:首先,它需要能够满足更大功率的要求,支持更大的电流;其次,还要有抑制EMI的能力,满足汽车和工业应用环境中严苛的EMC设计要求;再有,在外形上也要更为紧凑,为有限空间应用设计提供更大的灵活性。

按照上述的设计要求选型,Bourns SRP系列大电流功率电感器无疑是一个理想的选择。归纳起来,SRP系列功率电感器的“过人之处”体现在:

  • 具有独特的磁屏蔽结构,可以实现低EMI辐射,因此无需在电路系统中使用额外的屏蔽罩,节省空间和成本。

  • 采用了扁平导线结构,有助于降低DCR电阻,实现更高的效率。

  • 采用金属合金粉末磁芯,支持大饱和电流,非常适合于大电流、高功率应用。

  • 同时,扁平导线和粉末磁芯,也有利于实现更紧凑的外形,节省系统空间。

  • 基于高温分级材料制成,具有更出色的温度稳定性,支持高达150°C的工作温度。

总而言之,SRP系列功率电感器采用了先进的材料和结构,可实现高效和超低DC电阻,以及紧凑高效的封装(元件高度范围从1mm至7mm),屏蔽式的设计还优化了EMI特性——这对于BMS这种控制电路和功率电路毗邻的设计,十分必要。可以说,SRP系列功率电感器集上述多种优势于一身,在BMS磁性元件选型时,是不可错过的一颗料。

BMS电池管理系统中的磁性元件:这样选,就对了!

图2:SRP大电流功率电感器(图源:Bourns)

高效高安全性的隔离变压器

在BMS设计中,推挽式隔离DC-DC转换器因其出色的特性——如更稳定的输入电流、更少的输入线路噪声,以及高功率应用中的高效率——成为了一种常用的拓扑结构。想要实现推挽式低压隔离DC-DC转换器的设计,一款高效而安全的推挽式变压器肯定少不了。

Bourns符合AEC-Q200标准的HCT系列电源变压器,就是为此应用而生的。

BMS电池管理系统中的磁性元件:这样选,就对了!

图3:HCT系列电源变压器(图源:Bourns)

HCT系列变压器具有3.3V至5V的输入范围,并提供3.3V至15V、高达350mA的输出,可配置为各种匝数比。该系列电源变压器采用铁氧体环形磁芯,具有高耦合系数和高效率。

特别值得一提的是,由于采用了创新的爬电距离路径设计,在紧凑的封装中增加了电流路径长度,这种新型的电源变压器虽然外形紧凑,外壳高度仅有6.5mm,却可提供增强绝缘、至少8mm的电气间隙/爬电距离,以及5kVAC的耐压,能够满足高规格的高压隔离要求。


BMS电池管理系统中的磁性元件:这样选,就对了!

图4:HCT系列变压器采用创新的爬电距离路径设计,获得了8mm的爬电距离(图源:Bourns)

简而言之,HCT系列高压隔离推挽变压器符合AEC-Q200、IEC 60950-1、IEC 62368-1以及IEC 60664-1等行业标准,为BMS中需要低直流功率与高压隔离的场景提供了一种理想解决方案。

高性能BMS信号变压器

从BMS的工作原理来看,它需要将电池状态、数据和命令通过有线接口与主控CPU进行通信,这些数据和信息的交互,是BMS实现高效、可靠闭环控制的关键。不幸的是,锂电池组的高电压,加上应用环境(如电动汽车动力系统)中存在的大量电磁干扰,都会对BMS数据通信造成不良影响。为了实现BMS与主控CPU之间的可靠通信,就需要能够提供高压隔离和EMI抑制的BMS信号变压器登场了。

Bourns的SM91501AL BMS信号变压器是一款双通道隔离变压器模块,支持串行菊花链/isoSPI,具有1600VDC工作电压,4300VDC隔离电压和-40°C至+125°C的扩展工作温度范围。该信号变压器集成了用于噪声抑制的共模扼流圈,能够实现更佳的信号的完整性。

SM91501AL符合AEC-Q200标准和RoHS指令,并且已经应用在Analog Devices和NXP的多款BMS参考设计中,经过了实际应用的验证,有助于节省开发时间并大幅降低设计风险。


BMS电池管理系统中的磁性元件:这样选,就对了!

图5:SM91501AL BMS信号变压器(图源:Bourns)

本文小结

在可以预见的未来,BMS市场将伴随着锂电池应用的发展,进入一个快速上升通道,这也为相关的元器件带来新的商机。对于功率电子系统中不可或缺的磁性元件,更是如此。

当然,能够搭上BMS市场快车的磁性元件产品,需要满足一系列特殊的设计要求,在效率、热性能、EMI特性、小型化设计、可靠性和安全性等方面,必须有异于通用产品的突出优势。

Bourns依托数十年的专业积累,可以为BMS设计提供完整的磁性元件产品组合。通过本文的介绍,你应该能够体会到:想要开发出高效、安全、可靠,具有竞争力的BMS产品,从中选出你心仪的物料,就对了!

文章来源:贸泽电子

]]>
http://www.amcfsurvey.com/article/202404/457966.htm Tue, 23 Apr 2024 11:50:57 +0800
<![CDATA[ 苹果Vision Pro热度消退,销量暴跌 ]]> 据彭博社记者马克·古尔曼(Mark Gurman)报道,苹果的Vision Pro似乎难逃VR设备的普遍命运,在上市初期备受追捧、销售火爆,用户争相到实体店预约体验。然而,随着时间的推移,该设备的热度正在消退。

苹果零售店内原本火爆的Vision Pro试用预约出现大幅下滑,一些门店的销量更是从日均几台暴跌到一周仅售出寥寥数台。为了应对这一情况,苹果不得不加强线上官网的宣传攻势,网站首页出现了自2月份发售以来力度最大的Vision Pro宣传活动。

640-9.jpeg

然而,更大的问题在于现有Vision Pro用户的使用频率是否已经降低,这正是此前困扰VR设备的一大难题。随着新鲜感消退,Vision Pro的繁琐使用流程逐渐显露出来,佩戴电池、启动设备、熟悉界面等步骤让日常使用变得不那么便利。同时,缺少能够充分发挥设备特性的“杀手级应用” 。相比之下,直接使用笔记本电脑或在电视上观看视频显然更加方便。

此外,Vision Pro在使用时会将用户与现实世界隔离开,这使其在家庭或共事者周围显得格格不入,更适合独自使用(例如长途飞行或居家办公)。尽管苹果已经解决了部分困扰Vision Pro操作系统的漏洞,但在改善用户体验方面仍有待提升。目前,该设备不能提供自然景观等虚拟背景,许多苹果自家的App也未针对该平台进行优化,设备端的应用商店内容也平平无奇。

虽然苹果最近推出了“空间 Persona”功能 —— 可以让多个Vision Pro用户感觉仿佛置身于同一虚拟空间内,然而该功能的前提是对方也需要拥有Vision Pro。苹果需要继续加强软件和应用的优化,并开发出更多吸引用户的“杀手级应用”。目前来看,苹果并没有逃离出传统VR设备的困境。

]]>
据彭博社记者马克·古尔曼(Mark Gurman)报道,苹果的Vision Pro似乎难逃VR设备的普遍命运,在上市初期备受追捧、销售火爆,用户争相到实体店预约体验。然而,随着时间的推移,该设备的热度正在消退。

苹果零售店内原本火爆的Vision Pro试用预约出现大幅下滑,一些门店的销量更是从日均几台暴跌到一周仅售出寥寥数台。为了应对这一情况,苹果不得不加强线上官网的宣传攻势,网站首页出现了自2月份发售以来力度最大的Vision Pro宣传活动。

640-9.jpeg

然而,更大的问题在于现有Vision Pro用户的使用频率是否已经降低,这正是此前困扰VR设备的一大难题。随着新鲜感消退,Vision Pro的繁琐使用流程逐渐显露出来,佩戴电池、启动设备、熟悉界面等步骤让日常使用变得不那么便利。同时,缺少能够充分发挥设备特性的“杀手级应用” 。相比之下,直接使用笔记本电脑或在电视上观看视频显然更加方便。

此外,Vision Pro在使用时会将用户与现实世界隔离开,这使其在家庭或共事者周围显得格格不入,更适合独自使用(例如长途飞行或居家办公)。尽管苹果已经解决了部分困扰Vision Pro操作系统的漏洞,但在改善用户体验方面仍有待提升。目前,该设备不能提供自然景观等虚拟背景,许多苹果自家的App也未针对该平台进行优化,设备端的应用商店内容也平平无奇。

虽然苹果最近推出了“空间 Persona”功能 —— 可以让多个Vision Pro用户感觉仿佛置身于同一虚拟空间内,然而该功能的前提是对方也需要拥有Vision Pro。苹果需要继续加强软件和应用的优化,并开发出更多吸引用户的“杀手级应用”。目前来看,苹果并没有逃离出传统VR设备的困境。

]]>
http://www.amcfsurvey.com/article/202404/457964.htm Tue, 23 Apr 2024 11:48:39 +0800
<![CDATA[ 『这个知识不太冷』如何为你的应用选择UWB的拓扑结构? ]]> 『这个知识不太冷』系列,旨在帮助小伙伴们唤醒知识的记忆,将挑选一部分Qorvo划重点的知识点,结合产业现状解读,以此温故知新、查漏补缺。本篇将继续探讨UWB的。


UWB系统考虑因素回顾

在本节中,我们来简要介绍一下UWB的系统组件,以及硬件和软件选择如何影响系统的性能。


锚点和标签


要了解UWB系统,您需要理解锚点和标签这两个术语。锚点通常就是固定的UWB设备。标签通常是指移动的UWB设备。锚点和标签可交换信息,以便确定两者之间的距离。标签的确切位置可通过与多个锚点通信来确定。


一些设备即可作为锚点,也可作为标签。例如,当两个移动手机使用UWB来计算相互之间的距离时,它们可以在计算过程中转化角色,交替地用作标签和锚点。


存储单元和处理能力


典型的UWB设备需要具备一定程度处理能力和特定功能。对于简单的标签,要求处理器具有少量的闪存(可编程非易失性存储器)和数据存储器(易失性随机存取存储器,或RAM)。对于锚点应用,比如到达时间差(TDoA)中使用的锚点,可能需要具有更多闪存和RAM的处理器,在许多情况下还需要数据回传。


图1显示了标签(具有运动检测)或锚点(具有回传接口,如以太网或Wi-Fi接口)的常见架构。对于锚点,可能需要不同类型的处理器,具体取决于系统规模和工作负载/吞吐量需求。


『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?


天线


另一个系统考虑因素就是天线。不同的应用会需要不同的天线。例如,标签通常使用小型全向性天线。锚点则可能要使用定向天线,具体取决于拓扑结构。

软件栈


UWB通信组件和应用之间的重要互连就是UWB软件栈,如图2所示。软件栈有助于协调与外部设备的互操作性和共存。此外,软件可实现UWB通信组件和内部微控制器之间的通信。例如,在控制智能手机和汽车之间的连接时,软件负责协调通信。

软件还可以同时管理多个应用和用例。例如,某个解决方案可能是控制扬声器、照明装置、加热系统等智能家居生态系统的组成部分。它可以与所有UWB标签和UWB支持设备通信,同时利用位置信息控制环境、锁门和开门、启用和禁用报警系统等。UWB软件栈可同时处理所有这些不同的情况。


『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?


使用UWB软件栈可确保UWB通信组件满足不同应用的需求。此外,从最终用户和整体系统设计角度来说,利用该软件的许多功能可以让事情变得更简单。


功能优先级排序


在一些应用场景下,不同功能需要进行优先级排序。例如,假设在某个应用中,电源管理和电池使用寿命很重要,比位置更新速率或数据吞吐量更重要。在这种情况下,可使用软件优化功耗,将设备设置为不用时关闭,需要通信时开启。


另一种情况就是,传感器LOS信号不理想或是来自不同的方向。此时,可使用软件将结果平均,以获得精确的距离信息;软件还可以平滑处理比其他信号更嘈杂的信号。为了获得更精确的结果(尤其是在快速移动应用中),或为了添加有关设备方向的信息,软件还可以将来自UWB芯片组的数据与来自惯性测量装置(包括加速计、陀螺仪和磁力计等)的数据整合在一起。


UWB拓扑结构比较和选择


UWB利用ToF的概念,这是一种通过将信号的ToF乘以光速来测量两个无线电收发器之间距离的方法。基于这个基本原理,可根据目标应用的需求以不同的方式实现UWB定位技术。


最佳拓扑结构主要由应用决定。这也就是说,设计工程师首先要将应用和拓扑结构匹配。可供选择的方法有:


双向测距(TWR):如图3所示,TWR方法可通过测定UWB射频信号的ToF,然后将该时间乘以光速来计算标签与锚点之间的距离。汽车无钥门禁系统就是使用TWR方法的一个应用示例TWR可生成一个安全空间,类似于一个安全气泡,同时确保在应用的时候,这个气泡保持高精度的安全控制。



『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?


如果您在两个设备之间实施TWR方案,则可以获得设备之间的距离信息。在TWR方案的基础上,您还可以在移动标签和固定锚点之间实现2D甚至3D位置测量;称为“三边测量法”。


采用TWR方法,可交换三条消息。标签通过发送一条含已知锚点地址的轮询消息启动TWR。锚点记录轮询接收时间,并回复响应消息。在收到响应消息后,标签记录时间并编写最后一条消息。锚点可利用最后一条消息中的信息确定UWB信号的ToF。


TWR方法也可用于图4和图5所示的2D/3D资产场景。图4显示使用监听器的双向测距,而图5显示使用数据标签回程的TWR。如图5所示,数据回传可以使用多种方法(如Wi-Fi、NB-IoT、LTE-M等)实现,通过这些方法将数据传输至云。


『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?

『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?


到达时间差(TDoA)和反向TDoA:TDoA和反向TDoA方法类似于GPS。在已知的固定场所部署了多个参考点,称为“锚点”,且这些锚点在时间方面实现了紧密同步。如果为TDoA,移动设备将闪烁(也就是定期发送信息),当锚点接收到信标信号时,将基于共同的同步时基标记时间戳。然后,多个锚点的时间戳将转发至中央定位引擎,中央定位引擎将根据每个锚点的信标信号TDoA运行多点定位算法。最后将得到移动设备的2D或3D位置,如图6所示。


反向TDoA更像GPS。在该系统中,锚点发送同步信标(具有固定/已知偏移,以避免发生碰撞),移动设备利用TDoA和多点定位算法来计算其位置,如图7所示。


『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?

『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?


到达相位差(PDoA):另一个UWB拓扑就是PDoA。PDoA可将两个设备之间的距离与两者之间的方位测量结合在一起,如图8所示。利用距离和方位的组合信息,可在没有任何其他基础设施的情况下计算出两个设备的相对位置。为此,其中一个设备必须配备至少2根天线,并且能够测量每根天线处到达信号载波的相位差。相位完全不受天线变形的影响,并且可实现优于10°的测量精度,从而可以在不到5°的情况下确定发射器的方位。

『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?


对于每种拓扑结构,分别最适合哪种应用?这些用例主要侧重于三个不同的领域:感应式门禁、定位服务和设备对设备(点对点)应用。图9详细介绍了TWR、TDoA、反向TDoA和PDoA拓扑结构的最佳应用。


『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?

文章来源:Qorvo半导体


]]>
『这个知识不太冷』系列,旨在帮助小伙伴们唤醒知识的记忆,将挑选一部分Qorvo划重点的知识点,结合产业现状解读,以此温故知新、查漏补缺。本篇将继续探讨UWB的。


UWB系统考虑因素回顾

在本节中,我们来简要介绍一下UWB的系统组件,以及硬件和软件选择如何影响系统的性能。


锚点和标签


要了解UWB系统,您需要理解锚点和标签这两个术语。锚点通常就是固定的UWB设备。标签通常是指移动的UWB设备。锚点和标签可交换信息,以便确定两者之间的距离。标签的确切位置可通过与多个锚点通信来确定。


一些设备即可作为锚点,也可作为标签。例如,当两个移动手机使用UWB来计算相互之间的距离时,它们可以在计算过程中转化角色,交替地用作标签和锚点。


存储单元和处理能力


典型的UWB设备需要具备一定程度处理能力和特定功能。对于简单的标签,要求处理器具有少量的闪存(可编程非易失性存储器)和数据存储器(易失性随机存取存储器,或RAM)。对于锚点应用,比如到达时间差(TDoA)中使用的锚点,可能需要具有更多闪存和RAM的处理器,在许多情况下还需要数据回传。


图1显示了标签(具有运动检测)或锚点(具有回传接口,如以太网或Wi-Fi接口)的常见架构。对于锚点,可能需要不同类型的处理器,具体取决于系统规模和工作负载/吞吐量需求。


『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?


天线


另一个系统考虑因素就是天线。不同的应用会需要不同的天线。例如,标签通常使用小型全向性天线。锚点则可能要使用定向天线,具体取决于拓扑结构。

软件栈


UWB通信组件和应用之间的重要互连就是UWB软件栈,如图2所示。软件栈有助于协调与外部设备的互操作性和共存。此外,软件可实现UWB通信组件和内部微控制器之间的通信。例如,在控制智能手机和汽车之间的连接时,软件负责协调通信。

软件还可以同时管理多个应用和用例。例如,某个解决方案可能是控制扬声器、照明装置、加热系统等智能家居生态系统的组成部分。它可以与所有UWB标签和UWB支持设备通信,同时利用位置信息控制环境、锁门和开门、启用和禁用报警系统等。UWB软件栈可同时处理所有这些不同的情况。


『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?


使用UWB软件栈可确保UWB通信组件满足不同应用的需求。此外,从最终用户和整体系统设计角度来说,利用该软件的许多功能可以让事情变得更简单。


功能优先级排序


在一些应用场景下,不同功能需要进行优先级排序。例如,假设在某个应用中,电源管理和电池使用寿命很重要,比位置更新速率或数据吞吐量更重要。在这种情况下,可使用软件优化功耗,将设备设置为不用时关闭,需要通信时开启。


另一种情况就是,传感器LOS信号不理想或是来自不同的方向。此时,可使用软件将结果平均,以获得精确的距离信息;软件还可以平滑处理比其他信号更嘈杂的信号。为了获得更精确的结果(尤其是在快速移动应用中),或为了添加有关设备方向的信息,软件还可以将来自UWB芯片组的数据与来自惯性测量装置(包括加速计、陀螺仪和磁力计等)的数据整合在一起。


UWB拓扑结构比较和选择


UWB利用ToF的概念,这是一种通过将信号的ToF乘以光速来测量两个无线电收发器之间距离的方法。基于这个基本原理,可根据目标应用的需求以不同的方式实现UWB定位技术。


最佳拓扑结构主要由应用决定。这也就是说,设计工程师首先要将应用和拓扑结构匹配。可供选择的方法有:


双向测距(TWR):如图3所示,TWR方法可通过测定UWB射频信号的ToF,然后将该时间乘以光速来计算标签与锚点之间的距离。汽车无钥门禁系统就是使用TWR方法的一个应用示例TWR可生成一个安全空间,类似于一个安全气泡,同时确保在应用的时候,这个气泡保持高精度的安全控制。



『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?


如果您在两个设备之间实施TWR方案,则可以获得设备之间的距离信息。在TWR方案的基础上,您还可以在移动标签和固定锚点之间实现2D甚至3D位置测量;称为“三边测量法”。


采用TWR方法,可交换三条消息。标签通过发送一条含已知锚点地址的轮询消息启动TWR。锚点记录轮询接收时间,并回复响应消息。在收到响应消息后,标签记录时间并编写最后一条消息。锚点可利用最后一条消息中的信息确定UWB信号的ToF。


TWR方法也可用于图4和图5所示的2D/3D资产场景。图4显示使用监听器的双向测距,而图5显示使用数据标签回程的TWR。如图5所示,数据回传可以使用多种方法(如Wi-Fi、NB-IoT、LTE-M等)实现,通过这些方法将数据传输至云。


『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?

『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?


到达时间差(TDoA)和反向TDoA:TDoA和反向TDoA方法类似于GPS。在已知的固定场所部署了多个参考点,称为“锚点”,且这些锚点在时间方面实现了紧密同步。如果为TDoA,移动设备将闪烁(也就是定期发送信息),当锚点接收到信标信号时,将基于共同的同步时基标记时间戳。然后,多个锚点的时间戳将转发至中央定位引擎,中央定位引擎将根据每个锚点的信标信号TDoA运行多点定位算法。最后将得到移动设备的2D或3D位置,如图6所示。


反向TDoA更像GPS。在该系统中,锚点发送同步信标(具有固定/已知偏移,以避免发生碰撞),移动设备利用TDoA和多点定位算法来计算其位置,如图7所示。


『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?

『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?


到达相位差(PDoA):另一个UWB拓扑就是PDoA。PDoA可将两个设备之间的距离与两者之间的方位测量结合在一起,如图8所示。利用距离和方位的组合信息,可在没有任何其他基础设施的情况下计算出两个设备的相对位置。为此,其中一个设备必须配备至少2根天线,并且能够测量每根天线处到达信号载波的相位差。相位完全不受天线变形的影响,并且可实现优于10°的测量精度,从而可以在不到5°的情况下确定发射器的方位。

『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?


对于每种拓扑结构,分别最适合哪种应用?这些用例主要侧重于三个不同的领域:感应式门禁、定位服务和设备对设备(点对点)应用。图9详细介绍了TWR、TDoA、反向TDoA和PDoA拓扑结构的最佳应用。


『这个知识不太冷』如何为你的应用选择UWB的拓扑结构?

文章来源:Qorvo半导体


]]>
http://www.amcfsurvey.com/article/202404/457965.htm Tue, 23 Apr 2024 11:46:39 +0800
<![CDATA[ 国内新能源乘用车渗透率突破50%,首次超过燃油车 ]]> 根据乘联会数据统计,从4月1日到4月14日,全国乘用车市场零售51.6万辆、批发53.4万辆,其中,新能源汽车市场零售26万辆,批发26.8万辆。按此计算,新能源汽车零售、批发销量在汽车市场占比分别为50.39%、50.19%,均超过50%,首次超过传统燃油乘用车。跨越“半数”分水岭,正式迎来了新能源汽车超车一刻。

不过,从今年的数据来看,新能源汽车在乘用车市场的渗透率,还未超过50%。

2020年,我国制定了到2035年新能源汽车渗透率超过50%的目标,现已提前完成。什么是渗透率?渗透率首超50%,是否意味着新能源取代燃油成为定局?渗透率就是指新能源车在整体乘用车销量的占比,体现了新能源车在市场的份额表现。如果渗透率达到了30%、50%,它就代表了从一个初始阶段到一个成长阶段,到一个相对成熟阶段,它是一个标志性的指标。

近二十年来,新能源汽车以惊人的速度发展。2005年到2015年,中国新能源汽车用了10年,渗透率才突破1%。2016年到2019年,虽然新能源汽车渗透率提升到5%,但燃油车依然是市场的主角。2020年是一个分水岭,中国制定了到2035年新能源汽车渗透率超过50%的目标。随后,中国新能源汽车渗透率迎来爆发式提升,2021年突破14%,2022年突破27%,2023年突破33%。

640-7.jpeg

渗透率的快速提升表明消费者对于新能源汽车性能、使用体验、用车成本等方面的感知已经积累到足够的深度,大大提升了大众消费群体对于新能源汽车的接受度。业内普遍认为,这与2月下旬爆发并持续至今的价格战有密切关系。新年伊始,比亚迪带头掀起的一场“电比油低”的降价潮,数十家车企跟进。一季度降价规模已经超过2023年全年的6成,相当于2022年的降价总规模,其中自主品牌与新势力是降价主力,合资降价较少。

新能源乘用车剧烈降价,但燃油车由于目前的促销已达一定底线,因此形成新能源独自降价蚕食燃油车市场的局面。总体来看,新能源汽车渗透率在短时间内出现激增超出了市场预期,但拉长时间线来看,渗透率提升仍是一个长期的过程。据业内预计,2024年国内新能源汽车销量有望突破1200万辆,渗透率有望超过40%。

虽然渗透率快速提升仅是短期数据,但也可以说明,新能源汽车的市场接受度正在快速提升。在巨大的消费市场中,新能源汽车的发展潜力依旧明显。2024年一季度,重磅纯电新车陆续上市,如理想MEGA、小米SU7、零跑C10、2024款极氪001等;同时汽车“以旧换新”补贴细则明确后,国内电动汽车具备销量上修预期。

2024年,渗透率增幅表现最喜人的却是插电混动车,以第一季度的销量数据为例,纯电动车零售同比增长约14.7%,但插电混动车型的增长率却高达75.6%。插电混动迅猛增长,是因为做到将原先对燃油车的价格劣势抹平,拉开对纯电车型的价格优势。一款15万级插混车型,纯电续航基本在100km左右,综合续航里程轻松突破1000km,并且都配有快充,补能时间也在消费者接受的范围内。所以不仅吸引了很多新车主,也让很多油车车主有了更换插混汽车的意愿。

汽车市场格局面临重塑

借助新能源汽车和智能网联的东风,汽车供应链出现了一批像宁德时代、比亚迪等掌握核心技术的企业。而得益于产品、技术、供应链等多方面的进步,中国汽车出口爆发式的增长。2023年,中国汽车出口首次超越日本,位居全球第一。

640-8.jpeg

汽车产业是国家制造实力的重要标志,发展新能源汽车是我国从汽车大国迈向汽车强国的必由之路。市场格局的变化也促使传统燃油车企迅速转型,积极向新能源车发展方向靠拢,而这无疑使得中国新能源汽车市场更加“内卷”,开辟海外市场成为业界共识。

事实上,已有一批中国新能源车企在“出海”方面表现出了前瞻性,如比亚迪、奇瑞、长城、吉利、岚图等众多中国自主品牌,他们通过设立海外生产基地、建立研发中心、与当地企业合作等方式布局海外,展示出强大的市场拓展能力。

值得注意的是,在新能源汽车中占据核心技术地位的电池,仍然有很大的优化空间,比如低温衰减问题、寿命问题、以及补能效率问题,都是关键。再比如,补能基础设施需要进一步完善,数据显示,2023年新能源乘用车上险量726万辆,但是私桩安装量只有246万台,如此大的差距,说明至少有一半的用户还存在较高程度的补能焦虑。

日前,财政部、工业和信息化部、交通运输部三部门发布《关于2024-2026年开展县域充换电设施补短板试点工作的通知》,以进一步释放新能源汽车消费潜力。此外,我国新能源汽车产销量已经连续9年位居世界第一,巨大的市场规模使得企业在研发、生产、销售等环节具有规模效应,从而降低了成本,提高了市场竞争力。

从核心部件到整车制造和销售,中国打造出较为完善的新能源汽车产业链体系。企查查数据显示,2023年我国新能源汽车相关企业注册量首次突破30万大关,同比增长率为25.26%,截至2024年初,我国已有92.68万家新能源汽车相关企业。

对新能源汽车而言,电池、电机、电控技术是核心竞争力。燃油车不会在短时间内就被快速替代,而新能源车的渗透率在抵达50%的高点后,会否在接下来再度实现跃升也有待考量。不过,倘若有车企能在技术上继续攻坚,将现有痛点、难点一一击穿,那么新能源彻底替代燃油车也不再是猜测,而达成这一技术高度的车企必然也会成为淘汰赛中胜出的一方。

]]>
根据乘联会数据统计,从4月1日到4月14日,全国乘用车市场零售51.6万辆、批发53.4万辆,其中,新能源汽车市场零售26万辆,批发26.8万辆。按此计算,新能源汽车零售、批发销量在汽车市场占比分别为50.39%、50.19%,均超过50%,首次超过传统燃油乘用车。跨越“半数”分水岭,正式迎来了新能源汽车超车一刻。

不过,从今年的数据来看,新能源汽车在乘用车市场的渗透率,还未超过50%。

2020年,我国制定了到2035年新能源汽车渗透率超过50%的目标,现已提前完成。什么是渗透率?渗透率首超50%,是否意味着新能源取代燃油成为定局?渗透率就是指新能源车在整体乘用车销量的占比,体现了新能源车在市场的份额表现。如果渗透率达到了30%、50%,它就代表了从一个初始阶段到一个成长阶段,到一个相对成熟阶段,它是一个标志性的指标。

近二十年来,新能源汽车以惊人的速度发展。2005年到2015年,中国新能源汽车用了10年,渗透率才突破1%。2016年到2019年,虽然新能源汽车渗透率提升到5%,但燃油车依然是市场的主角。2020年是一个分水岭,中国制定了到2035年新能源汽车渗透率超过50%的目标。随后,中国新能源汽车渗透率迎来爆发式提升,2021年突破14%,2022年突破27%,2023年突破33%。

640-7.jpeg

渗透率的快速提升表明消费者对于新能源汽车性能、使用体验、用车成本等方面的感知已经积累到足够的深度,大大提升了大众消费群体对于新能源汽车的接受度。业内普遍认为,这与2月下旬爆发并持续至今的价格战有密切关系。新年伊始,比亚迪带头掀起的一场“电比油低”的降价潮,数十家车企跟进。一季度降价规模已经超过2023年全年的6成,相当于2022年的降价总规模,其中自主品牌与新势力是降价主力,合资降价较少。

新能源乘用车剧烈降价,但燃油车由于目前的促销已达一定底线,因此形成新能源独自降价蚕食燃油车市场的局面。总体来看,新能源汽车渗透率在短时间内出现激增超出了市场预期,但拉长时间线来看,渗透率提升仍是一个长期的过程。据业内预计,2024年国内新能源汽车销量有望突破1200万辆,渗透率有望超过40%。

虽然渗透率快速提升仅是短期数据,但也可以说明,新能源汽车的市场接受度正在快速提升。在巨大的消费市场中,新能源汽车的发展潜力依旧明显。2024年一季度,重磅纯电新车陆续上市,如理想MEGA、小米SU7、零跑C10、2024款极氪001等;同时汽车“以旧换新”补贴细则明确后,国内电动汽车具备销量上修预期。

2024年,渗透率增幅表现最喜人的却是插电混动车,以第一季度的销量数据为例,纯电动车零售同比增长约14.7%,但插电混动车型的增长率却高达75.6%。插电混动迅猛增长,是因为做到将原先对燃油车的价格劣势抹平,拉开对纯电车型的价格优势。一款15万级插混车型,纯电续航基本在100km左右,综合续航里程轻松突破1000km,并且都配有快充,补能时间也在消费者接受的范围内。所以不仅吸引了很多新车主,也让很多油车车主有了更换插混汽车的意愿。

汽车市场格局面临重塑

借助新能源汽车和智能网联的东风,汽车供应链出现了一批像宁德时代、比亚迪等掌握核心技术的企业。而得益于产品、技术、供应链等多方面的进步,中国汽车出口爆发式的增长。2023年,中国汽车出口首次超越日本,位居全球第一。

640-8.jpeg

汽车产业是国家制造实力的重要标志,发展新能源汽车是我国从汽车大国迈向汽车强国的必由之路。市场格局的变化也促使传统燃油车企迅速转型,积极向新能源车发展方向靠拢,而这无疑使得中国新能源汽车市场更加“内卷”,开辟海外市场成为业界共识。

事实上,已有一批中国新能源车企在“出海”方面表现出了前瞻性,如比亚迪、奇瑞、长城、吉利、岚图等众多中国自主品牌,他们通过设立海外生产基地、建立研发中心、与当地企业合作等方式布局海外,展示出强大的市场拓展能力。

值得注意的是,在新能源汽车中占据核心技术地位的电池,仍然有很大的优化空间,比如低温衰减问题、寿命问题、以及补能效率问题,都是关键。再比如,补能基础设施需要进一步完善,数据显示,2023年新能源乘用车上险量726万辆,但是私桩安装量只有246万台,如此大的差距,说明至少有一半的用户还存在较高程度的补能焦虑。

日前,财政部、工业和信息化部、交通运输部三部门发布《关于2024-2026年开展县域充换电设施补短板试点工作的通知》,以进一步释放新能源汽车消费潜力。此外,我国新能源汽车产销量已经连续9年位居世界第一,巨大的市场规模使得企业在研发、生产、销售等环节具有规模效应,从而降低了成本,提高了市场竞争力。

从核心部件到整车制造和销售,中国打造出较为完善的新能源汽车产业链体系。企查查数据显示,2023年我国新能源汽车相关企业注册量首次突破30万大关,同比增长率为25.26%,截至2024年初,我国已有92.68万家新能源汽车相关企业。

对新能源汽车而言,电池、电机、电控技术是核心竞争力。燃油车不会在短时间内就被快速替代,而新能源车的渗透率在抵达50%的高点后,会否在接下来再度实现跃升也有待考量。不过,倘若有车企能在技术上继续攻坚,将现有痛点、难点一一击穿,那么新能源彻底替代燃油车也不再是猜测,而达成这一技术高度的车企必然也会成为淘汰赛中胜出的一方。

]]>
http://www.amcfsurvey.com/article/202404/457963.htm Tue, 23 Apr 2024 11:44:19 +0800
<![CDATA[ 自主移动机器人的发展方向与解决方案 ]]> 在人们的日常生活中,机器人已经从以往的工业应用,拓展到商业应用,在许多的餐厅中,已经可以看到机器人在帮忙送餐,在许多商场内,机器人也开始担任着迎宾、导览的工作,这代表着相关技术的逐步成熟,以及成本的日渐降低,使得机器人应用日渐普及。本文将为您介绍机器人应用的发展,以及由安森美(onsemi)所推出的相关解决方案。

能够自主执行任务与在环境中移动的机器人系统

随着科技的不断发展,人类与机器人的互动将不断增加,从早上在当地咖啡店为您泡咖啡的协作机器人(cobot),到在仓库中移动选择包裹的自主移动机器人(AMR),这些各式各样的协作机器人,将可以在我们的日常生活中发挥很多作用。

自主移动机器人是一类能够自主执行任务并在环境中移动的机器人系统,这些机器人通常具备一定的感知、决策和执行能力,使它们能够适应不同的环境,并执行各种任务,而无需过多的人为干预。自主移动机器人广泛应用于工业、服务、医疗、农业等领域,以提高效率、降低成本、增强安全性等目的。

这类机器人的基本组成部分相当复杂,主要包括感知系统、决策系统与执行系统。感知系统包括能够感知环境的传感器,如摄像头、激光雷达、红外传感器等,用于获取周围环境的信息。决策系统则利用感知系统获取的信息进行分析结合决策算法和软件,使机器人能够理解环境、规划路径和执行任务。执行系统则包括控制机器人执行动作的执行器,如电机、液压系统等,用于实现机器人在环境中的移动和操作。

自主移动机器人的未来发展方向涵盖了许多方面,通过更强大的人工智能和机器学习技术,将使机器人能够更好地适应复杂和动态的环境,提高决策能力和自主行动的灵活性。此外,未来的自主移动机器人可能更加注重团队协作规划能力和协同工作,以实现更复杂的任务,这涉及到机器人之间的分布式决策和通信协议的进一步发展,以及机器人与人类的有效互动,以执行更复杂和协同的任务。


自主移动机器人的发展方向与解决方案


具备更多智能感知能力的自主移动机器人

展望未来发展趋势,机器人应用将进一步发展高阶的智能感知技术,如三维视觉、声音识别、触觉、气味感知等,以提高机器人对环境的感知能力,并强化自主移动机器人在未知或动态环境中的导航和定位能力,包括对地形、障碍物和其他移动物体的更精准识别,以应对复杂和动态的场景。此外,利用增强现实和虚拟现实技术,提高机器人在现实世界中的感知和操作能力,同时也为远程操作和培训提供更好的工具。

为了延长机器人的运作时间,提升能源效率和自持续性,将更着重研究机器人的能源效率,同时发展更先进的自主充电技术,以延长其工作时间,同时探索自持续能源解决方案,以减少对外部充电设施的依赖,如太阳能充电或其他创新的能源技术,并为了配合不同应用领域的需求,开发定制化的自主移动机器人,使其具备更多的功能和适应性。

另一方面,人们也相当重视机器人的安全性和伦理考虑,应更加强自主移动机器人在与人类互动时的安全性,确保它们在与人类共存的环境中,能够遵循相关的法规和伦理准则,包括遵循道德准则、隐私保护和对人的尊重,更加强调机器人的安全性,这也可能涉及到法规和伦理方面的制定。

机器人也将朝向更专业化、定制化的自主移动机器人发展,以适应特定行业或领域的需求,例如医疗保健、物流、农业等,并将自主移动机器人与物联网技术相结合,实现更高级别的自动化和智能化,使机器人能够更好地与其他设备和系统协同工作。

自主移动机器人的发展方向与解决方案

提供完整的自主移动机器人演示与解决方案

安森美是一家产品线相当广泛的半导体元器件公司,针对机器人应用发展,安森美开发了一款自主移动机器人演示,该演示是从子系统解决方案开发出来的,这是一个使用安森美创新产品的综合机器人设计。通过结合安森美的不同传感和智能电源解决方案,这一概念可用于设计各种类型的机器人、协作机器人、电动工具和自动导引车。

安森美以自己的产品来制作机器人应用的评估板(EVB)和开发平台,这些平台用于自主移动机器人子系统,包括运动、传感器、电源、照明和通信。它们与控制单元一起形成一个自主移动基地,可以在其环境中导航并在需要时使用防撞功能,安全地重新规划绕过障碍物的路径。为了能够升级和使用安森美最新的评估板,并包含安森美的一些客制化产品(例如相机),自主移动机器人使用DIN导轨来安装评估板,并使用球头安装座1/4-20来安装传感器。

在照明子系统中,可向周围的人传达自主移动机器人的现状、状态和意图。在智能零售库存应用中,照明系统还可以用于照亮黑暗商店中的产品。其中使用了NCV7685线性电流驱动器和NCL31000智能LED驱动器,包括可见光通信和室内定位评估板的功能。

在运动子系统中则包括安森美的60V多用途三相栅极驱动器NCD83591,以及具有极低的静态电流、快速瞬态响应,以及高输入和输出电压范围功率调节器NCP730 CMOS LDO稳压器,和专为紧凑高效应用而设计,并具有高热性能,用于BLDC电机驱动的最新Trench 10 MOSFET NVMFWS0DxN04XM解决方案。

传感器子系统中则使用1/2.6英寸2Mp CMOS数字图像传感器AR0234和1/3.2英寸CMOS有源像素数字图像传感器AR1335,以及提供全功能控制器和传感器接口,可实现高分辨率、高精度角度传感的NCS32100角度感应定位传感器,和可在自主移动机器人停止期间提供障碍物距离测量的超声波传感器NCV75215。

在电源子系统中,FAN65008B是一款具有集成功率MOSFET的PWM降压调节器,可通过48V电池创建自主移动机器人所需的功率水平。FAN65008B包含一系列保护电路,包括过电流保护(OCP)、热关断(TSD)、过电压保护(OVP)、欠电压保护(UVP)和短路保护(SCP)。电源子系统还包括电池监控和基于无桥图腾柱NCP1681和e-Fuse NIS3071的超紧凑充电解决方案以及电流监控。

通信子系统则包括NCN26010,这是一款符合IEEE 802.3cg标准的多点以太网10Base-T1S收发器,包括MAC、PLCA和协调子层(RS)。10Base-T1S是连接所有自主移动机器人子系统的骨干。最后,使用NVIDIA® Jetson™作为控制单元,提供了安森美子系统将机器人操作系统(ROS)作为集装器来实现的良好集成示例。


自主移动机器人的发展方向与解决方案


搭配合作伙伴共同拓展机器人的功能与应用

安森美使用DIN导轨制造了自主移动机器人,以便能够添加新产品和功能,持续进行更多的传感器融合,也可以通过使用新的安森美电子保险丝产品e-Fuse NIS3071来扩展电源子系统。

安森美并与将安森美图像传感器和LiDAR技术集成到其相机系统中的公司合作,从而将图像传感和深度感知全部融合在一个系统中,以更好地支持这些客户,并将安森美产品中的算法或功能转移到客户的系统之中。

安森美的自主移动机器人并与Nvidia合作,可进一步深入了解Nvidia Jetson用于运行ROS(机器人操作系统)环境的方式,以及需要什么级别的驱动程序。此外,安森美还深入探索Nvidia Omniverse™、Isaac Sim™的机器人仿真和合成数据,这些仿真环境是安全自主移动机器人设计的关键。仿真环境用于根据Syntectica数据(移动机器人需要安全导航的障碍物)来训练移动机器人,这些仿真环境还可用于导航最节能的路径,延长电池充电之间的时间,或利用充电的机会,通过自主移动机器人子系统中的节能和智能传感,来突出安森美的优势。

当前自主移动机器人可以在人们周围自由移动的物理障碍已被消除,因为它们已经相当安全且高效。自主移动机器人除了可以在仓库和/或办公室环境这些具有受控照明和水平地板环境下运行,未来自主移动机器人仍将不断发展,以便像人类一样可以应对任何环境。

此外,真正的部署灵活性是自主移动机器人能否成功的一个关键特性,这需要一个界面来指示或训练机器人在无需编程即可知道该做什么。NLP(自然语言处理)、智能高效硬件传感器,以及电源和控制方面的进步,将集成到自主移动机器人中以执行一般任务。这将使未来的机器人有时可以操作数控机床,有时机器人也可以包装产品。例如,在农业环境中,自主移动机器人不仅可以进行除草,随后也会采摘成熟的蔬菜,然后将其包装以便运输。

结语

随着机器人行业在我们的日常生活中不断变得更加高效和可靠,安森美将继续开发可集成到自主移动机器人中的技术,包括运动、传感器、电源、照明和通信子系统等模块,允许机器人以最少的人机交互安全地移动、观察和操作。安森美通过可靠的智能电源和传感解决方案,最大限度地降低了这种复杂性,可为您的设计提供了必要的构建模块,值得您进一步深入了解与采用。

文章来源:艾睿电子

]]>
在人们的日常生活中,机器人已经从以往的工业应用,拓展到商业应用,在许多的餐厅中,已经可以看到机器人在帮忙送餐,在许多商场内,机器人也开始担任着迎宾、导览的工作,这代表着相关技术的逐步成熟,以及成本的日渐降低,使得机器人应用日渐普及。本文将为您介绍机器人应用的发展,以及由安森美(onsemi)所推出的相关解决方案。

能够自主执行任务与在环境中移动的机器人系统

随着科技的不断发展,人类与机器人的互动将不断增加,从早上在当地咖啡店为您泡咖啡的协作机器人(cobot),到在仓库中移动选择包裹的自主移动机器人(AMR),这些各式各样的协作机器人,将可以在我们的日常生活中发挥很多作用。

自主移动机器人是一类能够自主执行任务并在环境中移动的机器人系统,这些机器人通常具备一定的感知、决策和执行能力,使它们能够适应不同的环境,并执行各种任务,而无需过多的人为干预。自主移动机器人广泛应用于工业、服务、医疗、农业等领域,以提高效率、降低成本、增强安全性等目的。

这类机器人的基本组成部分相当复杂,主要包括感知系统、决策系统与执行系统。感知系统包括能够感知环境的传感器,如摄像头、激光雷达、红外传感器等,用于获取周围环境的信息。决策系统则利用感知系统获取的信息进行分析结合决策算法和软件,使机器人能够理解环境、规划路径和执行任务。执行系统则包括控制机器人执行动作的执行器,如电机、液压系统等,用于实现机器人在环境中的移动和操作。

自主移动机器人的未来发展方向涵盖了许多方面,通过更强大的人工智能和机器学习技术,将使机器人能够更好地适应复杂和动态的环境,提高决策能力和自主行动的灵活性。此外,未来的自主移动机器人可能更加注重团队协作规划能力和协同工作,以实现更复杂的任务,这涉及到机器人之间的分布式决策和通信协议的进一步发展,以及机器人与人类的有效互动,以执行更复杂和协同的任务。


自主移动机器人的发展方向与解决方案


具备更多智能感知能力的自主移动机器人

展望未来发展趋势,机器人应用将进一步发展高阶的智能感知技术,如三维视觉、声音识别、触觉、气味感知等,以提高机器人对环境的感知能力,并强化自主移动机器人在未知或动态环境中的导航和定位能力,包括对地形、障碍物和其他移动物体的更精准识别,以应对复杂和动态的场景。此外,利用增强现实和虚拟现实技术,提高机器人在现实世界中的感知和操作能力,同时也为远程操作和培训提供更好的工具。

为了延长机器人的运作时间,提升能源效率和自持续性,将更着重研究机器人的能源效率,同时发展更先进的自主充电技术,以延长其工作时间,同时探索自持续能源解决方案,以减少对外部充电设施的依赖,如太阳能充电或其他创新的能源技术,并为了配合不同应用领域的需求,开发定制化的自主移动机器人,使其具备更多的功能和适应性。

另一方面,人们也相当重视机器人的安全性和伦理考虑,应更加强自主移动机器人在与人类互动时的安全性,确保它们在与人类共存的环境中,能够遵循相关的法规和伦理准则,包括遵循道德准则、隐私保护和对人的尊重,更加强调机器人的安全性,这也可能涉及到法规和伦理方面的制定。

机器人也将朝向更专业化、定制化的自主移动机器人发展,以适应特定行业或领域的需求,例如医疗保健、物流、农业等,并将自主移动机器人与物联网技术相结合,实现更高级别的自动化和智能化,使机器人能够更好地与其他设备和系统协同工作。

自主移动机器人的发展方向与解决方案

提供完整的自主移动机器人演示与解决方案

安森美是一家产品线相当广泛的半导体元器件公司,针对机器人应用发展,安森美开发了一款自主移动机器人演示,该演示是从子系统解决方案开发出来的,这是一个使用安森美创新产品的综合机器人设计。通过结合安森美的不同传感和智能电源解决方案,这一概念可用于设计各种类型的机器人、协作机器人、电动工具和自动导引车。

安森美以自己的产品来制作机器人应用的评估板(EVB)和开发平台,这些平台用于自主移动机器人子系统,包括运动、传感器、电源、照明和通信。它们与控制单元一起形成一个自主移动基地,可以在其环境中导航并在需要时使用防撞功能,安全地重新规划绕过障碍物的路径。为了能够升级和使用安森美最新的评估板,并包含安森美的一些客制化产品(例如相机),自主移动机器人使用DIN导轨来安装评估板,并使用球头安装座1/4-20来安装传感器。

在照明子系统中,可向周围的人传达自主移动机器人的现状、状态和意图。在智能零售库存应用中,照明系统还可以用于照亮黑暗商店中的产品。其中使用了NCV7685线性电流驱动器和NCL31000智能LED驱动器,包括可见光通信和室内定位评估板的功能。

在运动子系统中则包括安森美的60V多用途三相栅极驱动器NCD83591,以及具有极低的静态电流、快速瞬态响应,以及高输入和输出电压范围功率调节器NCP730 CMOS LDO稳压器,和专为紧凑高效应用而设计,并具有高热性能,用于BLDC电机驱动的最新Trench 10 MOSFET NVMFWS0DxN04XM解决方案。

传感器子系统中则使用1/2.6英寸2Mp CMOS数字图像传感器AR0234和1/3.2英寸CMOS有源像素数字图像传感器AR1335,以及提供全功能控制器和传感器接口,可实现高分辨率、高精度角度传感的NCS32100角度感应定位传感器,和可在自主移动机器人停止期间提供障碍物距离测量的超声波传感器NCV75215。

在电源子系统中,FAN65008B是一款具有集成功率MOSFET的PWM降压调节器,可通过48V电池创建自主移动机器人所需的功率水平。FAN65008B包含一系列保护电路,包括过电流保护(OCP)、热关断(TSD)、过电压保护(OVP)、欠电压保护(UVP)和短路保护(SCP)。电源子系统还包括电池监控和基于无桥图腾柱NCP1681和e-Fuse NIS3071的超紧凑充电解决方案以及电流监控。

通信子系统则包括NCN26010,这是一款符合IEEE 802.3cg标准的多点以太网10Base-T1S收发器,包括MAC、PLCA和协调子层(RS)。10Base-T1S是连接所有自主移动机器人子系统的骨干。最后,使用NVIDIA® Jetson™作为控制单元,提供了安森美子系统将机器人操作系统(ROS)作为集装器来实现的良好集成示例。


自主移动机器人的发展方向与解决方案


搭配合作伙伴共同拓展机器人的功能与应用

安森美使用DIN导轨制造了自主移动机器人,以便能够添加新产品和功能,持续进行更多的传感器融合,也可以通过使用新的安森美电子保险丝产品e-Fuse NIS3071来扩展电源子系统。

安森美并与将安森美图像传感器和LiDAR技术集成到其相机系统中的公司合作,从而将图像传感和深度感知全部融合在一个系统中,以更好地支持这些客户,并将安森美产品中的算法或功能转移到客户的系统之中。

安森美的自主移动机器人并与Nvidia合作,可进一步深入了解Nvidia Jetson用于运行ROS(机器人操作系统)环境的方式,以及需要什么级别的驱动程序。此外,安森美还深入探索Nvidia Omniverse™、Isaac Sim™的机器人仿真和合成数据,这些仿真环境是安全自主移动机器人设计的关键。仿真环境用于根据Syntectica数据(移动机器人需要安全导航的障碍物)来训练移动机器人,这些仿真环境还可用于导航最节能的路径,延长电池充电之间的时间,或利用充电的机会,通过自主移动机器人子系统中的节能和智能传感,来突出安森美的优势。

当前自主移动机器人可以在人们周围自由移动的物理障碍已被消除,因为它们已经相当安全且高效。自主移动机器人除了可以在仓库和/或办公室环境这些具有受控照明和水平地板环境下运行,未来自主移动机器人仍将不断发展,以便像人类一样可以应对任何环境。

此外,真正的部署灵活性是自主移动机器人能否成功的一个关键特性,这需要一个界面来指示或训练机器人在无需编程即可知道该做什么。NLP(自然语言处理)、智能高效硬件传感器,以及电源和控制方面的进步,将集成到自主移动机器人中以执行一般任务。这将使未来的机器人有时可以操作数控机床,有时机器人也可以包装产品。例如,在农业环境中,自主移动机器人不仅可以进行除草,随后也会采摘成熟的蔬菜,然后将其包装以便运输。

结语

随着机器人行业在我们的日常生活中不断变得更加高效和可靠,安森美将继续开发可集成到自主移动机器人中的技术,包括运动、传感器、电源、照明和通信子系统等模块,允许机器人以最少的人机交互安全地移动、观察和操作。安森美通过可靠的智能电源和传感解决方案,最大限度地降低了这种复杂性,可为您的设计提供了必要的构建模块,值得您进一步深入了解与采用。

文章来源:艾睿电子

]]>
http://www.amcfsurvey.com/article/202404/457962.htm Tue, 23 Apr 2024 11:38:45 +0800
<![CDATA[ 从零了解汽车电控IGBT模块 ]]> 当前的新能源车的模块系统由很多部分组成,如电池、VCU、BSM、电机等,但是这些都是发展比较成熟的产品,国内外的模块厂商已经开发了很多,但是有一个模块需要引起行业内的重视,那就是电机驱动部分,则是电机驱动部分最核心的元件IGBT(Insulated Gate Bipolar Transistor绝缘栅双极型晶体管芯片)。

想要从零了解汽车电控IGBT模块看这一篇就够了!

根据乘联会数据,2022年6月新能源车国内零售渗透率27.4%,并且2022年6月29日欧盟对外宣布,欧盟27个成员国已经初步达成一致,欧洲将于2035年禁售燃油车。市场越来越景气,同时国内近期新发布的新能源车型也百花齐放。不论是普通消费者、新能源汽车产业相关从业者,还是一二级市场投资人,也逐渐深入关注研究新能源车的一些核心部件,尤其是功率器件IGBT模块。

电驱系统和IGBT模块的作用

要弄明白IGBT模块,就要先了解新能源汽车的电驱系统,先用一句话概括电驱系统如何工作:在驾驶新能源汽车时,电机控制器把动力电池放出的直流电(DC)变为交流电(AC)(这个过程即逆变),让驱动电机工作,电机将电能转换成机械能,再通过传动系统(主要是减速器)让汽车的轮子跑起来。反过来,把车轮的机械能转换存储到电池的过程就是动能回收。

640.jpeg

1、什么是“三电系统”和“电驱系统”?

三电系统,即动力电池(简称电池)、驱动电机(简称电机)、电机控制器(简称电控),也被人们成为三大件,加起来约占新能源车总成本的70%以上,是决定整车运动性能核心的组件。

电驱系统,我们一般简单把电机、电控、减速器,合称为电驱系统。

但严格定义上讲,根据进精电动招股说明书,电驱动系统包括三大总成:驱动电机总成(将动力电池的电能转化为旋转的机械能,是输出动力的来源)、控制器总成(基于功率半导体的硬件及软件设计,对驱动电机的工作状态进行实时控制,并持续丰富其他控制功能)、传动总成(通过齿轮组降低输出转速提高输出扭矩,以保证电驱动系统持续运行在高效区间)。

640.png

电驱系统示意图(来源:进精电动招股说明书)

2、什么是“多合一电驱系统”?

一开始电机、电控、减速器都是各自独立的零部件,但随着技术的进步,我们把这三个部分集合在一起做成一个部件,就变成了“三合一电驱”。集成的目的主要是节省空间、降低重量、提升性能、降低成本。

目前市场上集成度较高的有比亚迪旗下弗迪动力的“八合一电动力总成”,这套八合一电驱系统集成了驱动电机、电机控制器、减速器、车载充电器、直流变换器、配电箱、整车控制器、电池管理器。

640-2.png

图片来源:弗迪动力

当然,也并不是说集成度越高就越好,需要解决的有散热结构设计、系统稳定性、生产工艺成熟度等问题,对消费者来说,后期维修成本也是一大问题。所以具体怎样选用多合一电驱系统还需要综合。

3、IGBT模块究竟如何工作?

在电控模块中,IGBT模块是逆变器的最核心部件,总结其工作原理:通过非通即断的半导体特性,不考虑过渡过程和寄生效应,我们将单个IGBT芯片看做一个理想的开关。我们在模块内部搭建起若干个IGBT芯片单元的并串联结构,当直流电通过模块时,通过不同开关组合的快速开断,来改变电流的流出方向和频率,从而输出得到我们想要的交流电。

640-3.png

IGBT模块结构和汽车IGBT模块应用

上面提到了IGBT模块在电驱系统中的作用,下面我们展开来具体看看IGBT模块的结构。

4、IGBT模块实物长啥样?

IGBT模块的标准封装形式是一个扁平的类长方体,下图为HP1模块的正上方视角,最外面白色的都是塑料外壳,底部是导热散热的金属底板(一般是铜材料)。

可以看到模块外面还有非常多的端子和引脚,各自有自己的作用:1是DC正,2是DC负;3、4、5是三相交流电的U、V、W接口;6、25、22是集电极的信号端子,7、9、11、13、15、17是门极信号端子;8、10、12、14、16、18是发射极信号端子;19是DC负极信号端子;23、24是NTC热敏电阻端子。

640-2.jpeg

图:HP1模块等效电路图

5、IGBT的基础拓扑结构是怎样的?

640-3.jpeg

IGBT模块基础电路拓扑结构(来源:翠展微)

如上图所示,在IGBT模块/单管中,一般统称一单元是IGBT单管,二单元是单个桥臂(半桥),四单元是H桥(单相桥),六单元是三相桥(全桥),七单元一般是六单元+一个制动单元,八单元一般是六单元+制动单元+预充电单元。

一个单元由1对、2对或3对FRD+IGBT组成。其中1对,可以是1个FRD+1个IGBT,也可以是1个FRD+2个IGBT等。具体实物可参照下图,这是一个6单元的IGBT模块。

640-4.jpeg

英飞凌Primepack IGBT模块(来源:耿博士电力电子技术)

6、IGBT模块的生产流程?

640-5.jpeg

IGBT 标准封装结构横切面(来源:翠展微)

如上图所示,可以看到IGBT模块横切面的界面,目前壳封工艺的模块基本结构都相差不大。IGBT模块封装的流程大致如下:贴片→真空回流焊接→超声波清洗→X-ray缺陷检测→引线键合→静态测试→二次焊接→壳体灌胶与固化→端子成形→功能测试(动态测试、绝缘测试、反偏测试)。

· 贴片,首先将IGBT wafer上的每一个die贴片到DBC上。DBC是覆铜陶瓷基板,中间是陶瓷,双面覆铜,DBC类似PCB起到导电和电气隔离等作用,常用的陶瓷绝缘材料为氧化铝(Al2O3)和氮化铝(AlN);

· 真空焊接,贴片后通过真空焊接将die与DBC固定,一般焊料是锡片或锡膏;

· X-ray空洞检测,需要检测在敢接过程中出现的气泡情况,即空洞,空洞的存在将会严重影响器件的热阻和散热效率,以致出现过温、烧坏、爆炸等问题。一般汽车IGBT模块要求空洞率低于1%;

· 接下来是wire bonding工艺,用金属线将die和DBC键合,使用最多的是铝线,其他常用的包括铜线、铜带、铝带;

· 中间会有一系列的外观检测、静态测试,过程中有问题的模块直接报废;

· 重复以上工序将DBC焊接和键合到铜底板上,然后是灌胶、封壳、激光打码等工序;

· 出厂前会做最后的功能测试,包括电气性能的动态测试、绝缘测试、反偏测试等等。

7、常见的汽车IGBT模块封装类型有哪些?

· Econodual 系列半桥封装,应用在商用车上为主,主要规格为1200V/450A,1200V/600A等;

· HP1全桥封装,主要用在中小功率车型上,包括部分A级车、绝大部分的A0、A00车,峰值功率一般在70kW以内,型号以650V400A为主,其他规格如750V300A、750V400A、750V550A等;

· HPD全桥封装,中大功率型车上使用,大部分A级车及以上,以750V820A的规格占据市场主流,其他规格如750V550A等;

· DC6全桥封装,基于UVW三相全桥的整体式封装方案,具备封装紧凑,功率密度高,散热性能好等特点;

· TO247单管并联,市场上也有少量使用TO247单管封装的电控系统方案。使用单管并联方案的优势主要有两点:①单管方案可以实现灵活的线路设计,需要多大的电流就用相应的单管并联就好了,所以成本也有一定优势;②寄生电感问题比IGBT模块好解决。但是使用单管并联也存在一些待解决的难点:①每个并联单管之间均流和平衡比较困难,一致性比较难得到保障,例如实现同时的开断,相同的电流、温度等;②客户的系统设计、工艺难度非常大;③接口比较多,对产线的要求很高。

8、汽车IGBT模块要做哪些测试验证?

汽车IGBT模块对产品性能和质量的要求要明显高于消费和工控领域,因此车规认证成为了汽车IGBT模块市场的最重要壁垒,一般来说,车规级IGBT需要2年左右的车型导入周期。

汽车IGBT模块测试标准主要参照AEC-Q101和AQG-324,同时车企会根据自己的车型特点提出相应的要求,主要测试方法包括:参数测试、ESD测试、绝缘耐压、机械振动、机械冲击、高温老化、低温老化、温度循环、温度冲击、UHAST(高温高湿无偏压)、HTRB(高温反偏)、HTGB(高温删偏)、H3TRB/HAST(高温高湿反偏)、功率循环、可焊性。

其中功率循环和温度循环作为代表的耐久测试,要求极为严格,例如功率循环次数可能从几万次到十万次不等。主要目的是测试键合线、焊接层等机械连接层的耐久情况。测试时的失效机理主要是,芯片、键合线、DBC、焊料等的热膨胀系数不一致,导致键合线脱落、断裂,芯片焊层分离,以及焊料老化等。

中国汽车IGBT市场情况

随着国内新能源汽车产业的快速发展,产业链上游大有逐步完成国产替代,甚至引领世界的趋势,诸如整车品牌、动力电池、电池材料等等已经走得比较靠前。而汽车电控IGBT模块是新能源汽车最核心的功率器件,之前一直被诸如英飞凌、安森美、赛米控、三菱电机等国外供应商垄断,但随着比亚迪半导体、斯达半导、中车时代、士兰微、翠展微等国内供应商的崛起,目前在一定程度上已经能够满足国产需求,相信在不久的将来,国内汽车半导体企业会更大更强!

640-6.jpeg

]]>
当前的新能源车的模块系统由很多部分组成,如电池、VCU、BSM、电机等,但是这些都是发展比较成熟的产品,国内外的模块厂商已经开发了很多,但是有一个模块需要引起行业内的重视,那就是电机驱动部分,则是电机驱动部分最核心的元件IGBT(Insulated Gate Bipolar Transistor绝缘栅双极型晶体管芯片)。

想要从零了解汽车电控IGBT模块看这一篇就够了!

根据乘联会数据,2022年6月新能源车国内零售渗透率27.4%,并且2022年6月29日欧盟对外宣布,欧盟27个成员国已经初步达成一致,欧洲将于2035年禁售燃油车。市场越来越景气,同时国内近期新发布的新能源车型也百花齐放。不论是普通消费者、新能源汽车产业相关从业者,还是一二级市场投资人,也逐渐深入关注研究新能源车的一些核心部件,尤其是功率器件IGBT模块。

电驱系统和IGBT模块的作用

要弄明白IGBT模块,就要先了解新能源汽车的电驱系统,先用一句话概括电驱系统如何工作:在驾驶新能源汽车时,电机控制器把动力电池放出的直流电(DC)变为交流电(AC)(这个过程即逆变),让驱动电机工作,电机将电能转换成机械能,再通过传动系统(主要是减速器)让汽车的轮子跑起来。反过来,把车轮的机械能转换存储到电池的过程就是动能回收。

640.jpeg

1、什么是“三电系统”和“电驱系统”?

三电系统,即动力电池(简称电池)、驱动电机(简称电机)、电机控制器(简称电控),也被人们成为三大件,加起来约占新能源车总成本的70%以上,是决定整车运动性能核心的组件。

电驱系统,我们一般简单把电机、电控、减速器,合称为电驱系统。

但严格定义上讲,根据进精电动招股说明书,电驱动系统包括三大总成:驱动电机总成(将动力电池的电能转化为旋转的机械能,是输出动力的来源)、控制器总成(基于功率半导体的硬件及软件设计,对驱动电机的工作状态进行实时控制,并持续丰富其他控制功能)、传动总成(通过齿轮组降低输出转速提高输出扭矩,以保证电驱动系统持续运行在高效区间)。

640.png

电驱系统示意图(来源:进精电动招股说明书)

2、什么是“多合一电驱系统”?

一开始电机、电控、减速器都是各自独立的零部件,但随着技术的进步,我们把这三个部分集合在一起做成一个部件,就变成了“三合一电驱”。集成的目的主要是节省空间、降低重量、提升性能、降低成本。

目前市场上集成度较高的有比亚迪旗下弗迪动力的“八合一电动力总成”,这套八合一电驱系统集成了驱动电机、电机控制器、减速器、车载充电器、直流变换器、配电箱、整车控制器、电池管理器。

640-2.png

图片来源:弗迪动力

当然,也并不是说集成度越高就越好,需要解决的有散热结构设计、系统稳定性、生产工艺成熟度等问题,对消费者来说,后期维修成本也是一大问题。所以具体怎样选用多合一电驱系统还需要综合。

3、IGBT模块究竟如何工作?

在电控模块中,IGBT模块是逆变器的最核心部件,总结其工作原理:通过非通即断的半导体特性,不考虑过渡过程和寄生效应,我们将单个IGBT芯片看做一个理想的开关。我们在模块内部搭建起若干个IGBT芯片单元的并串联结构,当直流电通过模块时,通过不同开关组合的快速开断,来改变电流的流出方向和频率,从而输出得到我们想要的交流电。

640-3.png

IGBT模块结构和汽车IGBT模块应用

上面提到了IGBT模块在电驱系统中的作用,下面我们展开来具体看看IGBT模块的结构。

4、IGBT模块实物长啥样?

IGBT模块的标准封装形式是一个扁平的类长方体,下图为HP1模块的正上方视角,最外面白色的都是塑料外壳,底部是导热散热的金属底板(一般是铜材料)。

可以看到模块外面还有非常多的端子和引脚,各自有自己的作用:1是DC正,2是DC负;3、4、5是三相交流电的U、V、W接口;6、25、22是集电极的信号端子,7、9、11、13、15、17是门极信号端子;8、10、12、14、16、18是发射极信号端子;19是DC负极信号端子;23、24是NTC热敏电阻端子。

640-2.jpeg

图:HP1模块等效电路图

5、IGBT的基础拓扑结构是怎样的?

640-3.jpeg

IGBT模块基础电路拓扑结构(来源:翠展微)

如上图所示,在IGBT模块/单管中,一般统称一单元是IGBT单管,二单元是单个桥臂(半桥),四单元是H桥(单相桥),六单元是三相桥(全桥),七单元一般是六单元+一个制动单元,八单元一般是六单元+制动单元+预充电单元。

一个单元由1对、2对或3对FRD+IGBT组成。其中1对,可以是1个FRD+1个IGBT,也可以是1个FRD+2个IGBT等。具体实物可参照下图,这是一个6单元的IGBT模块。

640-4.jpeg

英飞凌Primepack IGBT模块(来源:耿博士电力电子技术)

6、IGBT模块的生产流程?

640-5.jpeg

IGBT 标准封装结构横切面(来源:翠展微)

如上图所示,可以看到IGBT模块横切面的界面,目前壳封工艺的模块基本结构都相差不大。IGBT模块封装的流程大致如下:贴片→真空回流焊接→超声波清洗→X-ray缺陷检测→引线键合→静态测试→二次焊接→壳体灌胶与固化→端子成形→功能测试(动态测试、绝缘测试、反偏测试)。

· 贴片,首先将IGBT wafer上的每一个die贴片到DBC上。DBC是覆铜陶瓷基板,中间是陶瓷,双面覆铜,DBC类似PCB起到导电和电气隔离等作用,常用的陶瓷绝缘材料为氧化铝(Al2O3)和氮化铝(AlN);

· 真空焊接,贴片后通过真空焊接将die与DBC固定,一般焊料是锡片或锡膏;

· X-ray空洞检测,需要检测在敢接过程中出现的气泡情况,即空洞,空洞的存在将会严重影响器件的热阻和散热效率,以致出现过温、烧坏、爆炸等问题。一般汽车IGBT模块要求空洞率低于1%;

· 接下来是wire bonding工艺,用金属线将die和DBC键合,使用最多的是铝线,其他常用的包括铜线、铜带、铝带;

· 中间会有一系列的外观检测、静态测试,过程中有问题的模块直接报废;

· 重复以上工序将DBC焊接和键合到铜底板上,然后是灌胶、封壳、激光打码等工序;

· 出厂前会做最后的功能测试,包括电气性能的动态测试、绝缘测试、反偏测试等等。

7、常见的汽车IGBT模块封装类型有哪些?

· Econodual 系列半桥封装,应用在商用车上为主,主要规格为1200V/450A,1200V/600A等;

· HP1全桥封装,主要用在中小功率车型上,包括部分A级车、绝大部分的A0、A00车,峰值功率一般在70kW以内,型号以650V400A为主,其他规格如750V300A、750V400A、750V550A等;

· HPD全桥封装,中大功率型车上使用,大部分A级车及以上,以750V820A的规格占据市场主流,其他规格如750V550A等;

· DC6全桥封装,基于UVW三相全桥的整体式封装方案,具备封装紧凑,功率密度高,散热性能好等特点;

· TO247单管并联,市场上也有少量使用TO247单管封装的电控系统方案。使用单管并联方案的优势主要有两点:①单管方案可以实现灵活的线路设计,需要多大的电流就用相应的单管并联就好了,所以成本也有一定优势;②寄生电感问题比IGBT模块好解决。但是使用单管并联也存在一些待解决的难点:①每个并联单管之间均流和平衡比较困难,一致性比较难得到保障,例如实现同时的开断,相同的电流、温度等;②客户的系统设计、工艺难度非常大;③接口比较多,对产线的要求很高。

8、汽车IGBT模块要做哪些测试验证?

汽车IGBT模块对产品性能和质量的要求要明显高于消费和工控领域,因此车规认证成为了汽车IGBT模块市场的最重要壁垒,一般来说,车规级IGBT需要2年左右的车型导入周期。

汽车IGBT模块测试标准主要参照AEC-Q101和AQG-324,同时车企会根据自己的车型特点提出相应的要求,主要测试方法包括:参数测试、ESD测试、绝缘耐压、机械振动、机械冲击、高温老化、低温老化、温度循环、温度冲击、UHAST(高温高湿无偏压)、HTRB(高温反偏)、HTGB(高温删偏)、H3TRB/HAST(高温高湿反偏)、功率循环、可焊性。

其中功率循环和温度循环作为代表的耐久测试,要求极为严格,例如功率循环次数可能从几万次到十万次不等。主要目的是测试键合线、焊接层等机械连接层的耐久情况。测试时的失效机理主要是,芯片、键合线、DBC、焊料等的热膨胀系数不一致,导致键合线脱落、断裂,芯片焊层分离,以及焊料老化等。

中国汽车IGBT市场情况

随着国内新能源汽车产业的快速发展,产业链上游大有逐步完成国产替代,甚至引领世界的趋势,诸如整车品牌、动力电池、电池材料等等已经走得比较靠前。而汽车电控IGBT模块是新能源汽车最核心的功率器件,之前一直被诸如英飞凌、安森美、赛米控、三菱电机等国外供应商垄断,但随着比亚迪半导体、斯达半导、中车时代、士兰微、翠展微等国内供应商的崛起,目前在一定程度上已经能够满足国产需求,相信在不久的将来,国内汽车半导体企业会更大更强!

640-6.jpeg

]]>
http://www.amcfsurvey.com/article/202404/457960.htm Tue, 23 Apr 2024 11:37:50 +0800
<![CDATA[ MCX N系列微处理器之NPU使用方法简介 ]]> MCX N系列是高性能、低功耗微控制器,配备智能外设和加速器,可提供多任务功能和高能效。部分MCX N系列产品包含恩智浦面向机器学习应用的eIQ® Neutron神经处理单元(NPU)。低功耗高速缓存增强了系统性能,双块Flash存储器和带ECC检测的RAM支持系统功能安全,提供了额外的保护和保证。这些安全MCU包含恩智浦EdgeLock®安全区域Core Profile,根据设计安全方法构建,提供具有不可变信任根和硬件加速加密的安全启动。


MCX N系列微型处理器:MCXN94xMCXN54x基于两个高性能的Arm® Cortex®-M33核心构建,核心运行速度可达150 MHz。它配备了2MB的板载闪存(Flash),并可选择配置完整的ECC(错误校正码)RAM,同时集成了一款专属的神经处理单元(eIQ Neutron NPU)。该NPU在机器学习(ML)任务处理速度上,比M33核心快出40倍,显著减少了设备的唤醒时间,并有效降低了总体功耗。


eIQ Neutron NPUs能够支援包括CNN(卷积神经网络)、RNN(循环神经网络)、TCN(时间卷积网络)以及Transformer等多种类型的神经网络。利用eIQ Neutron NPU进行机器学习应用的开发,将得到eIQ机器学习软件开发环境的全方位支持。eIQ Neutron NPU系统框图如下所示:


MCX N系列微处理器之NPU使用方法简介


NPU由计算单元,权重解码器,量化器,优化函数加速器,RAM以及DMA快速访问接口组成,其ML算力可达4.8G。强大的算力给ML推理带来极大的加速,在TinyML Perf benchmark测试模型上的性能对比如下图所示:


MCX N系列微处理器之NPU使用方法简介



图中表示NPU的性能提升倍数,绿色柱体代表M33,蓝色柱体代表NPU基于M33的提升倍数。从图中可以看到Anomaly Detect异常检测模型NPU提供8倍的性能提升,Keyword spotting关键词检测模型NPU提供15倍的提升,Resnet图像分类模型NPU提供38倍的性能提升,Visual Wake Word模型NPU提供28倍的性能提升。


对于不同类型的模型,NPU的加速效果略有不同。Resnet主要是由卷积网络构成,NPU的主要计算单元是乘累加计算器,并且通道间权重是共享的,所以NPU对卷积网络性能提升是最大的,异常检测模型主要由全连接网络组成,全连接网络的权重无法共享故而无法最大限度的利用NPU,所以全连接网络的加速是最小的。


推理速度的提升必然会减少核心的运行时间从而降低了整体的功耗,打开NPU会额外增加1.4mA(3.3V)的电流,相比运算速度的提升,这个增量可以忽略不记。


MCX N系列微处理器之NPU使用方法简介



从运行时序图上看,NPU使能后Core的大部分时间是在休眠状态,如果不在NPU上推理模型,Core基本一直处于运行状态,NPU节能效果显而易见。

(作者:Tony Zhang 来源:恩智浦MCU加油站)

]]>
MCX N系列是高性能、低功耗微控制器,配备智能外设和加速器,可提供多任务功能和高能效。部分MCX N系列产品包含恩智浦面向机器学习应用的eIQ® Neutron神经处理单元(NPU)。低功耗高速缓存增强了系统性能,双块Flash存储器和带ECC检测的RAM支持系统功能安全,提供了额外的保护和保证。这些安全MCU包含恩智浦EdgeLock®安全区域Core Profile,根据设计安全方法构建,提供具有不可变信任根和硬件加速加密的安全启动。


MCX N系列微型处理器:MCXN94xMCXN54x基于两个高性能的Arm® Cortex®-M33核心构建,核心运行速度可达150 MHz。它配备了2MB的板载闪存(Flash),并可选择配置完整的ECC(错误校正码)RAM,同时集成了一款专属的神经处理单元(eIQ Neutron NPU)。该NPU在机器学习(ML)任务处理速度上,比M33核心快出40倍,显著减少了设备的唤醒时间,并有效降低了总体功耗。


eIQ Neutron NPUs能够支援包括CNN(卷积神经网络)、RNN(循环神经网络)、TCN(时间卷积网络)以及Transformer等多种类型的神经网络。利用eIQ Neutron NPU进行机器学习应用的开发,将得到eIQ机器学习软件开发环境的全方位支持。eIQ Neutron NPU系统框图如下所示:


MCX N系列微处理器之NPU使用方法简介


NPU由计算单元,权重解码器,量化器,优化函数加速器,RAM以及DMA快速访问接口组成,其ML算力可达4.8G。强大的算力给ML推理带来极大的加速,在TinyML Perf benchmark测试模型上的性能对比如下图所示:


MCX N系列微处理器之NPU使用方法简介



图中表示NPU的性能提升倍数,绿色柱体代表M33,蓝色柱体代表NPU基于M33的提升倍数。从图中可以看到Anomaly Detect异常检测模型NPU提供8倍的性能提升,Keyword spotting关键词检测模型NPU提供15倍的提升,Resnet图像分类模型NPU提供38倍的性能提升,Visual Wake Word模型NPU提供28倍的性能提升。


对于不同类型的模型,NPU的加速效果略有不同。Resnet主要是由卷积网络构成,NPU的主要计算单元是乘累加计算器,并且通道间权重是共享的,所以NPU对卷积网络性能提升是最大的,异常检测模型主要由全连接网络组成,全连接网络的权重无法共享故而无法最大限度的利用NPU,所以全连接网络的加速是最小的。


推理速度的提升必然会减少核心的运行时间从而降低了整体的功耗,打开NPU会额外增加1.4mA(3.3V)的电流,相比运算速度的提升,这个增量可以忽略不记。


MCX N系列微处理器之NPU使用方法简介



从运行时序图上看,NPU使能后Core的大部分时间是在休眠状态,如果不在NPU上推理模型,Core基本一直处于运行状态,NPU节能效果显而易见。

(作者:Tony Zhang 来源:恩智浦MCU加油站)

]]>
http://www.amcfsurvey.com/article/202404/457961.htm Tue, 23 Apr 2024 11:36:27 +0800
<![CDATA[ 如何减少光学器件的数据延迟 ]]> 光学和电气领域正开始在更深层次上交叉,特别是在数据中心对 3D-IC 和 AI/ML 训练日益关注的情况下,推动了芯片设计方式及集成方法的变化。

这种转变的根源在于 AI/ML 的功耗、性能需求。现在,仅仅为了训练一个模型可能需要占用数据中心的多座大楼。这些性能需求,再加上数据中心本身的爆炸式增长——从独立建筑物发展为遍布数个电网的地理分布网络,需要专用光纤网络来处理大量带宽——要求光子学行业进行创新。

为了应对海量数据和不断扩展的网络基础设施,以太网速度正在迅速从 800 Gb/s 标准(IEEE P802.3df 工作组于 2024 年 2 月批准)提高到 2026 年计划中的 1.6Tb/s。与此同时,芯片架构师和工程团队正在努力减小系统延迟。但即便如此还不够,这就是光通信突然受到更多关注的原因。

十多年前,有人预测光学将在数据中心内变得至关重要。Alphawave Semi 首席技术官 Tony Chan Carusone 表示:「现在,有些人非常高兴地看到光学在一些大规模应用中占据了应有的位置——比如人工智能/机器学习等。」「我们所有人都在努力预测将得到广泛应用的技术,以及哪些方面需要开发更定制化的解决方案。」

一个主要的关注领域是电光开关技术。光学器件是长距离的最佳解决方案,正确调整的电子器件可以降低延迟和阻抗。二者结合在数据中心机架和集群中,使用带有电气线路的 ASIC 开关,从整个板面延伸到前面板,在前面板插入可插拔光学元件以执行电光转换及其反向操作。过去,这被认为是一种高效而优雅的解决方案,但所有这些毫米都会累加起来,使传统的系统架构不可持续。

「将来会有一个时间点,你的所有功耗都会被用来尝试在没有任何错误的情况下高效地将信号从 A 点传输到 B 点,」Ansys 的首席研发工程师 Ahsan Alam 说道。「这就是所谓的『功耗墙』。当你所有的功耗都用来将信号从一个芯片传输到另一个芯片时,你就没有剩余的功耗来执行 CPU、GPU 或 ASIC 中的实际计算。」

CPO 和 LPO

业界正在寻找解决功耗墙问题的不同方法。「一种方法是共同封装光学器件,」Synopsys 高速 SerDes IP 解决方案首席产品经理 Priyank Shukla 表示。「与其在表面积有限机架单元边缘进行电光转换(LPO),不如将光纤直接引入芯片封装中并在其中进行转换。」

尽管如此,可插拔器件与共封装光学器件 (CPO) 之间的争论仍在继续。一方面,有人主张采用简单易行且运行良好的传统系统,拥有长期建立的 IEEE 标准。另一方面,是一种较新的方法,采用最近批准的标准,可能提升性能并降低成本。

Broadcom 光学系统市场和运营副总裁 Manish Mehta 表示:「共封装光学架构促进了 ASIC 与光学引擎在共同基板上的集成,并消除了信号传输到前端插拔式收发器所产生的信号损伤。由于 CPO 基板上的信号路径简化,通过移除光学 DSP 并在光学引擎中使用 CMOS 电子 IC 组件,光互连功耗减少了 70%。」

与此同时,线性驱动光学器件已成为一种可能的独立选择,也是可插拔光学器件和共封装光学器件过渡的一种方式,ASIC 而非 DSP 驱动光学器件。英伟达于 2023 年在 OIF 上首次提出了这一想法,迄今为止已经推出了许多变体,希望能够在降低功耗的情况下创建更快的连接。

「英伟达首席执行官黄仁勋在 GTC 主题演讲中指出,通过光子传输数据需要在光纤的一侧安装发射器,在另一侧安装接收器。黄仁勋谈到取消收发器并直接使用铜缆。」Ansys 战略合作伙伴总监 Rich Goldman 说道。「尽管光子学有诸多已知的优势,但这个想法可能也具有一定的价值,因为收发器需要进行一些工作,任何工作都可能减慢速度并增加功耗。这意味着我们需要从芯片一直讨论到整个系统,这些都是相互关联的。我们已经讨论了很长时间,现在我们已经实现了。」

随着设计的不断发展,当前的光学互连选择介于传统的可插拔式模块、CPO 和线性驱动可插拔光学(LPO)之间,而 LPO 位于中间位置。对于那些还没有准备好完全过渡到 CPO 的人来说,LPO 的优势在于熟悉的外形尺寸,损失较少。

「这是新的低功耗互连,」Synopsys 的 Shukla 说道。「Meta 和其他超大规模网络服务提供商公开要求线性驱动技术,在电光转换中,你可以消除中间的再定时器,这样电驱动器直接驱动光学组件,这就是你在信号链电光转换中节省功耗的方式。但这也使得 SerDes 设计更加具有挑战性。」

图 1:共封装光学与可插拔光学的插入损耗节约比较。Broadcom 将线性驱动可插拔光学视为一个中间步骤。来源:Broadcom

LPOs 是由交换机 SerDes 直接驱动的,没有再定时器。再定时器曾因通过创建新信号来延长传输距离而受到重视,它们增加了信号,但不会放大噪音,与再驱动器不同,后者会同时放大信号和噪音。但现在,它们的存在受到质疑,因为它们的功能会增加延迟并消耗额外的功耗。

Infinera 的市场营销高级副总裁 Rob Shore 表示:「任何需要数字信号处理器的东西都需要功耗。」「对于数据中心运营商来说,特别是那些试图建立人工智能基础设施的运营商来说,最主要的问题是功耗。他们希望将每瓦功耗都用于服务器,尽可能少地用于光学设备。」

图 2:无再定时器接口。来源:Synopsys

对于支持者来说,LPO 是功能性和熟悉度之间经过深思熟虑的折衷方案。「对于 CPO,如果你从传统的可插拔式光学模块转向一种在实施方面看起来非常不同的技术,在可靠性方面不可避免会产生质疑,」Ansys 的 Alam 说道。「LPO 仍将具有与当前可插拔设备相同的外形尺寸。这对于人们选择这条路线而不是选择 CPO 来说是一个很大的动机,因为后者将会有根本不同的变化。」

然而,LPO 也有其自身的局限性。「你无法在非常长的距离上传输数据,」Alam 解释道。「其传输距离远比 CPO 短。CPO 未来也将提供更多的功耗优势。相反,LPO 由于其模块化结构,将提供更优秀的可维护性。最终,一些团体会选择 LPO,一些会选择 CPO,还有一些会两者兼而有之。在一些情况下,如当前可插拔式收发器和 LPO,可插拔式光学模块是有意义的,而在另一些情况下,CPO 是有意义的。两者都将继续存在,并且市场份额将在两者之间划分。」

从设计的角度来看,CPO 和 LPO 面临的挑战有很好的重叠,并且应该主要由当前的 EDA 工具支持,尤其是 LPO,因为它与当前的可插拔收发器相似。Alam 指出:「您可以使用目前用于 LPO 可插拔收发器设计的相同解决方案,而对于 CPO,则有多物理场工作流程来应对新兴的封装挑战。」

散热问题

尽管 CPO 技术承诺降低功耗,但设计面临着热问题的挑战。但问题并不在于激光器。

「如果你担心热问题,那么『激光』这个词听起来很可怕,」Alam 说道。「但是对于共封装光学技术和芯片内外的激光器来说,大多数人将他们的光学引擎和激光器安装在单独的芯片上,然后将激光器带入共封装光学器件。保持激光器分离的优点是可以减少向开关系统产生的热量。此外,激光器对温度变化敏感,因此当你将其带入 3D-IC 时,需要考虑激光器的可靠性,因为存在热串扰等问题。因此,将激光器置于芯片外是一个更简单的解决方案。话虽如此,已经有一些解决方案将激光器集成到了共封装光学器件中。总的来说,无论使用片内还是片外的激光器,都需要对整个共封装光学器件进行热仿真,以减小热串扰、优化系统冷却,并降低工作温度,以确保性能和可靠性。」

热量是光子学中的一个大问题,但在电气 IC 中并不存在,因为它会影响信号完整性。虽然某些组件的行为可能对温度变化非常敏感,但电路通常具有反馈回路,可以调整热调谐器上的电压,从而调整设备温度和性能。然而,有些对温度变化敏感的元件不具备热调谐功能。

Ansys 的 Goldman 表示:「你必须非常注意热量及其对设计的影响。」「我们在数据中心更多地使用光子学,因为铜会升温,而玻璃不会,而且光还携带更多信号。你可以实现更大的带宽,而且速度是光速。它更好、更快、更便宜。」

简单来说,产生额外热量的不是激光器,而是封装结构。

「共封装对整个行业来说是一个挑战,」Shukla 说道。「你必须使用共封装的部署模拟性能。每个人都在尽力解决这个问题。光子芯片提供商、光子晶片厂商正在开发这些工艺,以限制他们的光子组件、激光调制器的热耗散。EDA 公司正在开发流程,允许系统设计人员建模温度分布,以便正确建模光学元件的性能。例如,如果激光器的性能随温度升高而变化,我们需要工具有效地模拟这一变化,并在数字方面采取措施来补偿性能的下降。这就是 EDA 工具和设计者正在解决的难题,而 SerDes 设计者则从电气方面降低功耗。」

然而,与电子学不同的是,在某些光子电路中,精确控制的热量被用来调节激光器,随着热量的增加,波长会发生变化。但是,这种特性可能会使热过载成为一个更加令人担忧的问题。

「很多结构都会内置加热器来调节其波导的谐振和滤波能力,」Keysight 的业务发展、市场营销和技术专家 Chris Mueth 说道。「它需要有一个反馈环路。如果你要调谐到特定的波长,你就需要对此进行控制。当你开始在 3D-IC 中进行集成时,芯片自身会加热,问题就变得更加复杂了。」

情况复杂,但并非无望。「你需要考虑控制回路来处理这个问题。这并不是无法解决的事情,」Mueth 说道。「这是当你在集成 3D-IC 和光子学以及所有这些带有物理效应的不同技术时,你必须处理的众多多学科特征之一。」

经过几十年的演示与讨论,光子学和电子学这两个曾经分离的领域似乎正在趋于融合。

「无论是共封装光学、可插拔光学还是单片集成,光子学在包括数据中心光学和高性能计算在内的广泛应用中与电子学越来越接近,」Synopsys 的 EDA 团队产品营销负责人 Jigesh Patel 说道。「这一趋势需要在设计创新上进行范式转变——从 SoC 转向片上系统方法,其中在通用电子光子设计自动化环境中多种技术的协同设计和协同优化是商业成功的关键。」

]]>
光学和电气领域正开始在更深层次上交叉,特别是在数据中心对 3D-IC 和 AI/ML 训练日益关注的情况下,推动了芯片设计方式及集成方法的变化。

这种转变的根源在于 AI/ML 的功耗、性能需求。现在,仅仅为了训练一个模型可能需要占用数据中心的多座大楼。这些性能需求,再加上数据中心本身的爆炸式增长——从独立建筑物发展为遍布数个电网的地理分布网络,需要专用光纤网络来处理大量带宽——要求光子学行业进行创新。

为了应对海量数据和不断扩展的网络基础设施,以太网速度正在迅速从 800 Gb/s 标准(IEEE P802.3df 工作组于 2024 年 2 月批准)提高到 2026 年计划中的 1.6Tb/s。与此同时,芯片架构师和工程团队正在努力减小系统延迟。但即便如此还不够,这就是光通信突然受到更多关注的原因。

十多年前,有人预测光学将在数据中心内变得至关重要。Alphawave Semi 首席技术官 Tony Chan Carusone 表示:「现在,有些人非常高兴地看到光学在一些大规模应用中占据了应有的位置——比如人工智能/机器学习等。」「我们所有人都在努力预测将得到广泛应用的技术,以及哪些方面需要开发更定制化的解决方案。」

一个主要的关注领域是电光开关技术。光学器件是长距离的最佳解决方案,正确调整的电子器件可以降低延迟和阻抗。二者结合在数据中心机架和集群中,使用带有电气线路的 ASIC 开关,从整个板面延伸到前面板,在前面板插入可插拔光学元件以执行电光转换及其反向操作。过去,这被认为是一种高效而优雅的解决方案,但所有这些毫米都会累加起来,使传统的系统架构不可持续。

「将来会有一个时间点,你的所有功耗都会被用来尝试在没有任何错误的情况下高效地将信号从 A 点传输到 B 点,」Ansys 的首席研发工程师 Ahsan Alam 说道。「这就是所谓的『功耗墙』。当你所有的功耗都用来将信号从一个芯片传输到另一个芯片时,你就没有剩余的功耗来执行 CPU、GPU 或 ASIC 中的实际计算。」

CPO 和 LPO

业界正在寻找解决功耗墙问题的不同方法。「一种方法是共同封装光学器件,」Synopsys 高速 SerDes IP 解决方案首席产品经理 Priyank Shukla 表示。「与其在表面积有限机架单元边缘进行电光转换(LPO),不如将光纤直接引入芯片封装中并在其中进行转换。」

尽管如此,可插拔器件与共封装光学器件 (CPO) 之间的争论仍在继续。一方面,有人主张采用简单易行且运行良好的传统系统,拥有长期建立的 IEEE 标准。另一方面,是一种较新的方法,采用最近批准的标准,可能提升性能并降低成本。

Broadcom 光学系统市场和运营副总裁 Manish Mehta 表示:「共封装光学架构促进了 ASIC 与光学引擎在共同基板上的集成,并消除了信号传输到前端插拔式收发器所产生的信号损伤。由于 CPO 基板上的信号路径简化,通过移除光学 DSP 并在光学引擎中使用 CMOS 电子 IC 组件,光互连功耗减少了 70%。」

与此同时,线性驱动光学器件已成为一种可能的独立选择,也是可插拔光学器件和共封装光学器件过渡的一种方式,ASIC 而非 DSP 驱动光学器件。英伟达于 2023 年在 OIF 上首次提出了这一想法,迄今为止已经推出了许多变体,希望能够在降低功耗的情况下创建更快的连接。

「英伟达首席执行官黄仁勋在 GTC 主题演讲中指出,通过光子传输数据需要在光纤的一侧安装发射器,在另一侧安装接收器。黄仁勋谈到取消收发器并直接使用铜缆。」Ansys 战略合作伙伴总监 Rich Goldman 说道。「尽管光子学有诸多已知的优势,但这个想法可能也具有一定的价值,因为收发器需要进行一些工作,任何工作都可能减慢速度并增加功耗。这意味着我们需要从芯片一直讨论到整个系统,这些都是相互关联的。我们已经讨论了很长时间,现在我们已经实现了。」

随着设计的不断发展,当前的光学互连选择介于传统的可插拔式模块、CPO 和线性驱动可插拔光学(LPO)之间,而 LPO 位于中间位置。对于那些还没有准备好完全过渡到 CPO 的人来说,LPO 的优势在于熟悉的外形尺寸,损失较少。

「这是新的低功耗互连,」Synopsys 的 Shukla 说道。「Meta 和其他超大规模网络服务提供商公开要求线性驱动技术,在电光转换中,你可以消除中间的再定时器,这样电驱动器直接驱动光学组件,这就是你在信号链电光转换中节省功耗的方式。但这也使得 SerDes 设计更加具有挑战性。」

图 1:共封装光学与可插拔光学的插入损耗节约比较。Broadcom 将线性驱动可插拔光学视为一个中间步骤。来源:Broadcom

LPOs 是由交换机 SerDes 直接驱动的,没有再定时器。再定时器曾因通过创建新信号来延长传输距离而受到重视,它们增加了信号,但不会放大噪音,与再驱动器不同,后者会同时放大信号和噪音。但现在,它们的存在受到质疑,因为它们的功能会增加延迟并消耗额外的功耗。

Infinera 的市场营销高级副总裁 Rob Shore 表示:「任何需要数字信号处理器的东西都需要功耗。」「对于数据中心运营商来说,特别是那些试图建立人工智能基础设施的运营商来说,最主要的问题是功耗。他们希望将每瓦功耗都用于服务器,尽可能少地用于光学设备。」

图 2:无再定时器接口。来源:Synopsys

对于支持者来说,LPO 是功能性和熟悉度之间经过深思熟虑的折衷方案。「对于 CPO,如果你从传统的可插拔式光学模块转向一种在实施方面看起来非常不同的技术,在可靠性方面不可避免会产生质疑,」Ansys 的 Alam 说道。「LPO 仍将具有与当前可插拔设备相同的外形尺寸。这对于人们选择这条路线而不是选择 CPO 来说是一个很大的动机,因为后者将会有根本不同的变化。」

然而,LPO 也有其自身的局限性。「你无法在非常长的距离上传输数据,」Alam 解释道。「其传输距离远比 CPO 短。CPO 未来也将提供更多的功耗优势。相反,LPO 由于其模块化结构,将提供更优秀的可维护性。最终,一些团体会选择 LPO,一些会选择 CPO,还有一些会两者兼而有之。在一些情况下,如当前可插拔式收发器和 LPO,可插拔式光学模块是有意义的,而在另一些情况下,CPO 是有意义的。两者都将继续存在,并且市场份额将在两者之间划分。」

从设计的角度来看,CPO 和 LPO 面临的挑战有很好的重叠,并且应该主要由当前的 EDA 工具支持,尤其是 LPO,因为它与当前的可插拔收发器相似。Alam 指出:「您可以使用目前用于 LPO 可插拔收发器设计的相同解决方案,而对于 CPO,则有多物理场工作流程来应对新兴的封装挑战。」

散热问题

尽管 CPO 技术承诺降低功耗,但设计面临着热问题的挑战。但问题并不在于激光器。

「如果你担心热问题,那么『激光』这个词听起来很可怕,」Alam 说道。「但是对于共封装光学技术和芯片内外的激光器来说,大多数人将他们的光学引擎和激光器安装在单独的芯片上,然后将激光器带入共封装光学器件。保持激光器分离的优点是可以减少向开关系统产生的热量。此外,激光器对温度变化敏感,因此当你将其带入 3D-IC 时,需要考虑激光器的可靠性,因为存在热串扰等问题。因此,将激光器置于芯片外是一个更简单的解决方案。话虽如此,已经有一些解决方案将激光器集成到了共封装光学器件中。总的来说,无论使用片内还是片外的激光器,都需要对整个共封装光学器件进行热仿真,以减小热串扰、优化系统冷却,并降低工作温度,以确保性能和可靠性。」

热量是光子学中的一个大问题,但在电气 IC 中并不存在,因为它会影响信号完整性。虽然某些组件的行为可能对温度变化非常敏感,但电路通常具有反馈回路,可以调整热调谐器上的电压,从而调整设备温度和性能。然而,有些对温度变化敏感的元件不具备热调谐功能。

Ansys 的 Goldman 表示:「你必须非常注意热量及其对设计的影响。」「我们在数据中心更多地使用光子学,因为铜会升温,而玻璃不会,而且光还携带更多信号。你可以实现更大的带宽,而且速度是光速。它更好、更快、更便宜。」

简单来说,产生额外热量的不是激光器,而是封装结构。

「共封装对整个行业来说是一个挑战,」Shukla 说道。「你必须使用共封装的部署模拟性能。每个人都在尽力解决这个问题。光子芯片提供商、光子晶片厂商正在开发这些工艺,以限制他们的光子组件、激光调制器的热耗散。EDA 公司正在开发流程,允许系统设计人员建模温度分布,以便正确建模光学元件的性能。例如,如果激光器的性能随温度升高而变化,我们需要工具有效地模拟这一变化,并在数字方面采取措施来补偿性能的下降。这就是 EDA 工具和设计者正在解决的难题,而 SerDes 设计者则从电气方面降低功耗。」

然而,与电子学不同的是,在某些光子电路中,精确控制的热量被用来调节激光器,随着热量的增加,波长会发生变化。但是,这种特性可能会使热过载成为一个更加令人担忧的问题。

「很多结构都会内置加热器来调节其波导的谐振和滤波能力,」Keysight 的业务发展、市场营销和技术专家 Chris Mueth 说道。「它需要有一个反馈环路。如果你要调谐到特定的波长,你就需要对此进行控制。当你开始在 3D-IC 中进行集成时,芯片自身会加热,问题就变得更加复杂了。」

情况复杂,但并非无望。「你需要考虑控制回路来处理这个问题。这并不是无法解决的事情,」Mueth 说道。「这是当你在集成 3D-IC 和光子学以及所有这些带有物理效应的不同技术时,你必须处理的众多多学科特征之一。」

经过几十年的演示与讨论,光子学和电子学这两个曾经分离的领域似乎正在趋于融合。

「无论是共封装光学、可插拔光学还是单片集成,光子学在包括数据中心光学和高性能计算在内的广泛应用中与电子学越来越接近,」Synopsys 的 EDA 团队产品营销负责人 Jigesh Patel 说道。「这一趋势需要在设计创新上进行范式转变——从 SoC 转向片上系统方法,其中在通用电子光子设计自动化环境中多种技术的协同设计和协同优化是商业成功的关键。」

]]>
http://www.amcfsurvey.com/article/202404/457959.htm Tue, 23 Apr 2024 10:56:10 +0800
<![CDATA[ A18 Pro芯片将推动苹果的AI革命 ]]> iPhone 16 系列将于今年 9 月推出,我们预计它将迎来新的 A 系列处理器。与过去几年的情况一样,高端「Pro」机型将获得新的处理器。

正如我们每年所做的那样,我们将研究过去几年 A 系列处理器的历史和性能,以及最近的传言和整体行业趋势,以预测我们对今年秋天苹果新款 iPhone 芯片的期望。与往常一样,这一切都只是猜测,苹果是一家以保密著称的公司,其产品的细节往往在发布之前不会被完全披露。

去年,苹果首次将名称从「A17 Bionic」更改为「A17 Pro」,这意味着每一代都会有一个新的非 Pro 芯片。今年,我们可能会看到一些不同的东西。

除了为 iPhone 16 Pro 和 Pro Max 制造新的 A18 Pro 芯片外,苹果还可能采取三种可能的做法:

  • 为普通的 iPhone 16 和 16 Plus 制作一个新的 A18,本质上是 A17 Pro,被赋予了新名称。可能有细微的技术差异,但性能和功能与 A17 Pro 相同。

  • 苹果为非 Pro iPhone 制造了新设计的 A18 芯片。它基于与 A18 Pro 相同的架构,但在某些方面性能较低(可能是由于时钟速度较低或核心数量减少)。

  • 苹果继续将 A17 Pro 用于 iPhone 16 和 16 Plus,A18 Pro 是今年唯一的「新」iPhone 芯片。这就是苹果在 iPhone 14 和 iPhone 15 世代中所做的。

很难说哪个更有可能,只是高端芯片可能会再次带有「Pro」名称而不是「Bionic」,并且仅在 iPhone 16 Pro 机型中推出。我们听说有传言称 iPhone 16 中的低端芯片今年将被称为「A18」,但即使这是真的,我们也不知道它是否会是真正的新芯片。

我们在这里的分析针对的是 A18 Pro 芯片,我们认为苹果将称之为为今年 iPhone 提供动力的芯片的高端版本。

仍然采用 3nm 制程

A17 Pro 是第一款使用 3nm 制程工艺的大众市场消费级芯片。虽然台积电正在朝着下一个 2nm 芯片的方向发展,但我们预计最早要到明年才能看到苹果产品使用该工艺。所以 A18 Pro 将再次采用台积电的 3nm 工艺制造。

A17 Pro 使用的 3nm 工艺被称为 N3,作为台积电 3nm 技术的第一次迭代,它非常昂贵。该公司有一种称为 N3E 的较新工艺,这种工艺更容易大规模制造。它应该比原来的 N3 工艺稍微节能一些,但「密度」也略低一些,这意味着使用 N3E 构建的芯片将比使用 N3 制造的相同芯片略大。

有传言称 A18 Pro 将是一款比 A17 Pro 更大的芯片——改用 N3E 可能是原因之一,但我们预计苹果也会将晶体管数量增加到 200 亿个以上(A17 Pro 是一个 190 亿个晶体管芯片)。从这个角度来看,英特尔「Raptor Lake」一代笔记本电脑 CPU 的晶体管数量估计约为 260 亿个晶体管,Nvidia GeForce 4090 RTX 台式机 GPU 是 740 亿个晶体管芯片。

CPU 性能可能会提高

所有的传言都集中在人工智能的性能上,苹果一直关注 CPU 性能,并在其 A 系列 iPhone/iPad 芯片和 M 系列 Mac(和 iPad Pro)芯片之间共享核心设计。

看看这张 iPhone 芯片的单核 Geekbench 6 性能图,一直追溯到 A11。

最让我印象深刻的是单核性能的稳步增长。我们通过延续过去几款芯片的趋势来估计 A18 的性能,它给了我们近 3,200 分的单核 Geekbench 6 分数。这比英特尔酷睿 i9-13900KS(一款非常高端的台式机 CPU)快一点。

当然,功能强大的台式机和笔记本电脑 CPU 拥有比手机处理器更多的内核。我们认为 A18 Pro 将具有与最新 A 系列芯片相同的核心配置:两个性能核心和四个效率核心。

更快的内核、缓存和内存将推高多核分数,即使苹果使用相同数量的内核。我们也看到了多核性能的相当稳定的性能趋势,不要指望这种情况会突然改变。超过 8,200 的多核分数将使 A18 Pro 与大约三年前的中端英特尔或 AMD 笔记本电脑 CPU 处于同一领域。

GPU 性能始终在上升

在无限模式下使用现代 3DMark Wild Life 基准测试,我们可以很好地了解 GPU 性能随时间推移而提高了多少。自 iPhone X 时代以来,帧速率已经翻了两番多。它不像 CPU 性能那样稳定——有些年份的提升是 10% 或更低,而在其它年份则接近 30%。

我们没有任何理由相信这次 GPU 性能也不会更好,尽管有传言说苹果不会增加更多的 GPU 内核。架构效率可以走很长的路。我们猜测实际 CPU 性能将提高 10-15%。

3DMark Solar Bay 测试强调光线追踪性能,因此您会看到整体帧速率低得多。

在这次测试中,A17 Pro 的性能有了巨大的提升,因为苹果增加了硬件来帮助加速光线追踪。今年可能会有一些调整,但我们认为在 A18 Pro 的这次测试中不会有类似的跳跃——同样,我们可能会看到 10-15% 的提升。

神经引擎可能会得到很大的升级

神经引擎是苹果公司对其 NPU 或「神经处理器单元」的称呼,这是一种专门的硬件,旨在以最佳方式运行用于机器学习、深度学习和 AI 软件的神经网络,就像 GPU 用于图形处理一样。

据说苹果今年将全力以赴,iOS 18 和 iPhone 16 系列将推出大型 AI 功能。我认为这意味着我们可以期待神经引擎性能的大幅提高。

但自从神经引擎首次在 A11(iPhone 8 和 iPhone X 中应用)中引入以来,它一直在以固定的速度变得越来越快。第一个神经引擎每秒可以执行 6000 亿次操作,而 A17 Pro 中的神经网络引擎被吹捧为每秒能够处理 35 万亿次操作(TOPS)。

以下是随着时间的推移,性能提升如何体现在使用新的 GeekBench ML 基准测试(仅针对 NPU)的性能上。

Geekbench ML 是一个相对较新的基准测试,仅在 0.6 版本上运行一套机器学习任务,如图像识别、对象分类、图像超分辨率和语言处理,以评估 CPU、GPU 和 NPU 的性能。当仅使用神经网络引擎运行测试时,我们看到在过去六年中改进了 8 倍以上,平均每年加速约 20%。

值得注意的是,从 A16 到 A17 一代的得分并没有翻倍,尽管苹果表示神经引擎性能从 17 TOPS 跃升至 35 TOPS。声称的最大性能规格通常与实际性能数据不符。

苹果的神经引擎已经提供了比骁龙 8+ gen 1 中的 NPU(大约 2,800 分,取决于它所在的手机)或谷歌的 Tensor G3(低于 2,400 分)等竞争对手更好的性能。简单地将过去的性能提升向前预测,我们将获得近 4,100 分的分数,并领先于大多数竞争对手。

最近的传言表明,苹果将把更多的芯片用于神经引擎,并推动性能的大幅提升,以便完全在设备上提供更先进的人工智能功能。如果苹果今年吹捧其神经引擎的一个非常大的数字,我不会感到惊讶——几乎可以肯定超过 50 TOPS——我们可以看到 Geekbench ML 分数接近 5,000。

RAM、5G 等

当然,A18 Pro 的功能远不止 CPU、GPU 和神经网络引擎。还有一些相关的芯片在技术上不属于 A18 Pro,但对 iPhone 用户来说仍然很重要,比如蜂窝调制解调器。

新的 LPDDR6 内存标准仍然太新,无法进入今年的 iPhone,但苹果可能会从 LPDDR5 转向 LPDDR5x——提供略高的内存带宽,同时使用更少的功耗。A17 Pro 实现了从 6GB 到 8GB RAM 的飞跃,苹果再次跃升还为时过早。另一方面,人工智能模型往往非常占用内存,我们可以看到苹果增加内存只是为了在新手机上启用更大、更复杂的人工智能模型。

在无线通信方面,我们应该期待升级到高通的 Snapdragon X75 调制解调器,以实现更快,更可靠的 5G 连接。苹果多年来一直在开发自己的无线芯片,但很难让它们达到提供足够好的体验的地步。也有传言称将升级到 Wi-Fi 7,当然,我们可以期待与 iPhone 15 系列类似的超宽带、蓝牙和 NFC 功能。

]]>
iPhone 16 系列将于今年 9 月推出,我们预计它将迎来新的 A 系列处理器。与过去几年的情况一样,高端「Pro」机型将获得新的处理器。

正如我们每年所做的那样,我们将研究过去几年 A 系列处理器的历史和性能,以及最近的传言和整体行业趋势,以预测我们对今年秋天苹果新款 iPhone 芯片的期望。与往常一样,这一切都只是猜测,苹果是一家以保密著称的公司,其产品的细节往往在发布之前不会被完全披露。

去年,苹果首次将名称从「A17 Bionic」更改为「A17 Pro」,这意味着每一代都会有一个新的非 Pro 芯片。今年,我们可能会看到一些不同的东西。

除了为 iPhone 16 Pro 和 Pro Max 制造新的 A18 Pro 芯片外,苹果还可能采取三种可能的做法:

  • 为普通的 iPhone 16 和 16 Plus 制作一个新的 A18,本质上是 A17 Pro,被赋予了新名称。可能有细微的技术差异,但性能和功能与 A17 Pro 相同。

  • 苹果为非 Pro iPhone 制造了新设计的 A18 芯片。它基于与 A18 Pro 相同的架构,但在某些方面性能较低(可能是由于时钟速度较低或核心数量减少)。

  • 苹果继续将 A17 Pro 用于 iPhone 16 和 16 Plus,A18 Pro 是今年唯一的「新」iPhone 芯片。这就是苹果在 iPhone 14 和 iPhone 15 世代中所做的。

很难说哪个更有可能,只是高端芯片可能会再次带有「Pro」名称而不是「Bionic」,并且仅在 iPhone 16 Pro 机型中推出。我们听说有传言称 iPhone 16 中的低端芯片今年将被称为「A18」,但即使这是真的,我们也不知道它是否会是真正的新芯片。

我们在这里的分析针对的是 A18 Pro 芯片,我们认为苹果将称之为为今年 iPhone 提供动力的芯片的高端版本。

仍然采用 3nm 制程

A17 Pro 是第一款使用 3nm 制程工艺的大众市场消费级芯片。虽然台积电正在朝着下一个 2nm 芯片的方向发展,但我们预计最早要到明年才能看到苹果产品使用该工艺。所以 A18 Pro 将再次采用台积电的 3nm 工艺制造。

A17 Pro 使用的 3nm 工艺被称为 N3,作为台积电 3nm 技术的第一次迭代,它非常昂贵。该公司有一种称为 N3E 的较新工艺,这种工艺更容易大规模制造。它应该比原来的 N3 工艺稍微节能一些,但「密度」也略低一些,这意味着使用 N3E 构建的芯片将比使用 N3 制造的相同芯片略大。

有传言称 A18 Pro 将是一款比 A17 Pro 更大的芯片——改用 N3E 可能是原因之一,但我们预计苹果也会将晶体管数量增加到 200 亿个以上(A17 Pro 是一个 190 亿个晶体管芯片)。从这个角度来看,英特尔「Raptor Lake」一代笔记本电脑 CPU 的晶体管数量估计约为 260 亿个晶体管,Nvidia GeForce 4090 RTX 台式机 GPU 是 740 亿个晶体管芯片。

CPU 性能可能会提高

所有的传言都集中在人工智能的性能上,苹果一直关注 CPU 性能,并在其 A 系列 iPhone/iPad 芯片和 M 系列 Mac(和 iPad Pro)芯片之间共享核心设计。

看看这张 iPhone 芯片的单核 Geekbench 6 性能图,一直追溯到 A11。

最让我印象深刻的是单核性能的稳步增长。我们通过延续过去几款芯片的趋势来估计 A18 的性能,它给了我们近 3,200 分的单核 Geekbench 6 分数。这比英特尔酷睿 i9-13900KS(一款非常高端的台式机 CPU)快一点。

当然,功能强大的台式机和笔记本电脑 CPU 拥有比手机处理器更多的内核。我们认为 A18 Pro 将具有与最新 A 系列芯片相同的核心配置:两个性能核心和四个效率核心。

更快的内核、缓存和内存将推高多核分数,即使苹果使用相同数量的内核。我们也看到了多核性能的相当稳定的性能趋势,不要指望这种情况会突然改变。超过 8,200 的多核分数将使 A18 Pro 与大约三年前的中端英特尔或 AMD 笔记本电脑 CPU 处于同一领域。

GPU 性能始终在上升

在无限模式下使用现代 3DMark Wild Life 基准测试,我们可以很好地了解 GPU 性能随时间推移而提高了多少。自 iPhone X 时代以来,帧速率已经翻了两番多。它不像 CPU 性能那样稳定——有些年份的提升是 10% 或更低,而在其它年份则接近 30%。

我们没有任何理由相信这次 GPU 性能也不会更好,尽管有传言说苹果不会增加更多的 GPU 内核。架构效率可以走很长的路。我们猜测实际 CPU 性能将提高 10-15%。

3DMark Solar Bay 测试强调光线追踪性能,因此您会看到整体帧速率低得多。

在这次测试中,A17 Pro 的性能有了巨大的提升,因为苹果增加了硬件来帮助加速光线追踪。今年可能会有一些调整,但我们认为在 A18 Pro 的这次测试中不会有类似的跳跃——同样,我们可能会看到 10-15% 的提升。

神经引擎可能会得到很大的升级

神经引擎是苹果公司对其 NPU 或「神经处理器单元」的称呼,这是一种专门的硬件,旨在以最佳方式运行用于机器学习、深度学习和 AI 软件的神经网络,就像 GPU 用于图形处理一样。

据说苹果今年将全力以赴,iOS 18 和 iPhone 16 系列将推出大型 AI 功能。我认为这意味着我们可以期待神经引擎性能的大幅提高。

但自从神经引擎首次在 A11(iPhone 8 和 iPhone X 中应用)中引入以来,它一直在以固定的速度变得越来越快。第一个神经引擎每秒可以执行 6000 亿次操作,而 A17 Pro 中的神经网络引擎被吹捧为每秒能够处理 35 万亿次操作(TOPS)。

以下是随着时间的推移,性能提升如何体现在使用新的 GeekBench ML 基准测试(仅针对 NPU)的性能上。

Geekbench ML 是一个相对较新的基准测试,仅在 0.6 版本上运行一套机器学习任务,如图像识别、对象分类、图像超分辨率和语言处理,以评估 CPU、GPU 和 NPU 的性能。当仅使用神经网络引擎运行测试时,我们看到在过去六年中改进了 8 倍以上,平均每年加速约 20%。

值得注意的是,从 A16 到 A17 一代的得分并没有翻倍,尽管苹果表示神经引擎性能从 17 TOPS 跃升至 35 TOPS。声称的最大性能规格通常与实际性能数据不符。

苹果的神经引擎已经提供了比骁龙 8+ gen 1 中的 NPU(大约 2,800 分,取决于它所在的手机)或谷歌的 Tensor G3(低于 2,400 分)等竞争对手更好的性能。简单地将过去的性能提升向前预测,我们将获得近 4,100 分的分数,并领先于大多数竞争对手。

最近的传言表明,苹果将把更多的芯片用于神经引擎,并推动性能的大幅提升,以便完全在设备上提供更先进的人工智能功能。如果苹果今年吹捧其神经引擎的一个非常大的数字,我不会感到惊讶——几乎可以肯定超过 50 TOPS——我们可以看到 Geekbench ML 分数接近 5,000。

RAM、5G 等

当然,A18 Pro 的功能远不止 CPU、GPU 和神经网络引擎。还有一些相关的芯片在技术上不属于 A18 Pro,但对 iPhone 用户来说仍然很重要,比如蜂窝调制解调器。

新的 LPDDR6 内存标准仍然太新,无法进入今年的 iPhone,但苹果可能会从 LPDDR5 转向 LPDDR5x——提供略高的内存带宽,同时使用更少的功耗。A17 Pro 实现了从 6GB 到 8GB RAM 的飞跃,苹果再次跃升还为时过早。另一方面,人工智能模型往往非常占用内存,我们可以看到苹果增加内存只是为了在新手机上启用更大、更复杂的人工智能模型。

在无线通信方面,我们应该期待升级到高通的 Snapdragon X75 调制解调器,以实现更快,更可靠的 5G 连接。苹果多年来一直在开发自己的无线芯片,但很难让它们达到提供足够好的体验的地步。也有传言称将升级到 Wi-Fi 7,当然,我们可以期待与 iPhone 15 系列类似的超宽带、蓝牙和 NFC 功能。

]]>
http://www.amcfsurvey.com/article/202404/457956.htm Tue, 23 Apr 2024 10:49:18 +0800
<![CDATA[ 中国芯片教父张汝京:一个名字,一段传奇 ]]> 在中国半导体事业起步的艰难岁月,有这样一位杰出人物,他怀揣着一颗坚定的「中国芯」,即便在半导体行业面临重重困境之际,仍毅然决然地投身于芯片产业的浪潮之中。哪怕历经封杀与打压,他的那份初心却始终如一,毫不动摇。

这个人,便是被誉为「中国芯片教父」的张汝京先生。今天,让我们一起走进这位传奇人物的故事。

与「芯」结缘,积累丰富的建厂经验

1948 年,张汝京在江苏南京出生,1949 年 1 月随父母迁居中国台湾。1970 年,从台湾大学毕业,获机械工程学士学位,又留学美国,获得了纽约州立大学水牛城大学的工程科学硕士和南卫理公会大学电子工程博士学位。

随后在 1977 年,29 岁的张汝京入职美国半导体企业德州仪器(TI),之后没多久就加入了诺贝尔物理学奖获得者、集成电路的发明人杰克·基尔比(Jack Kilby)所领导的 DRAM 团队,也是从这一年开始,张汝京先生与芯片结下了深厚的缘分。

张汝京在 TI 的职业生涯始于基层小工头,他亲自带领工人们完成安装、生产和维修的全部工作,并一路晋升为厂务设备经理。之后张汝京转型运营,并在 1990 年左右,开始跟着当时世界顶级的芯片工厂建设大师邵子凡博士,开启了他的建厂之旅。张汝京思维周密、执行力强,每到一地,开荒立桩,不出两年走上正轨,再转战下一城,先后在美国、日本、新加坡、意大利等地参与建造和管理过 10 来家晶圆工厂,成为业内公认的「建厂高手」。

在 TI 的建厂历程中,张汝京积累了丰富的经验,这些宝贵的经历为他回国后疯狂投入建厂事业打下了坚实的基础。

在张汝京的事业蒸蒸日上,成为全球芯片行业知名的建厂专家之后,他的父亲张锡纶问了儿子这样一个问题:「你什么时候去大陆建厂?」

父亲的问题,在 90 年代末迎来了解答的契机。

1997 年,张汝京申请从德州仪器提前退休,这已经是张汝京在 TI 的第二十个年头。而这一年,也成为了他人生新篇章的起点,翻开了更为波澜壮阔的一页。

初征,加入世大

届时,中国大陆半导体行业发展刚刚起步。张汝京敏锐地觉察出中国芯片领域将迎来一波大发展,萌生了回国发展芯片产业的想法。张汝京说过一句话:以做中国人为荣。我们就是中国人,如果还不够好,我们努力让中国变得更好,所以我很愿意回来。

离开美国,张汝京最先来到位于江苏无锡的芯片厂,做 0.5 微米的技术研发项目。可是只完成了一个项目,便因为政策原因辗转至中国台湾地区,在华邦电和中华开发投资银行的邀请下,加入了世大半导体——继台积电、联华电子之后,中国台湾的第三家晶圆代工厂商。由于在建厂和经营管理方面比较有经验,作为中华开发副总的张汝京,很快成为世大半导体的总经理。

在张汝京的苦心经营之下,世大半导体在成立仅三年后就实现了盈利。不过,没多久就传出了台积电欲收购世大的消息。

世大被收购是台积电与联电激烈竞争的结果之一。1999 年,联电与旗下的联诚、联瑞、联嘉以及合泰五家公司「五合一」合并,这家资本额高达八百多亿的企业,对台积电构成了威胁,迫使台积电将手伸向了世大。

在张汝京原来的筹划中,世大的第三厂到第十厂要在大陆投资建造。在和台积电谈收购的过程中,他也将未来在大陆建厂作为同意交易的条件之一。然而,遗憾的是,收购完成后,原先承诺好的建厂计划却未能如期实现。

后来,张汝京在大失所望之下,向公司愤然离职。尽管台积电想用丰厚的股权挽留张汝京,毕竟是不可多得的人才,但是张汝京不为所动,铁了心要去大陆建芯片厂。

钱不要了、公司不要了、股票也不要了,离开后,张汝京第一站去了香港。

然而,厂址的选择对于张汝京而言,却是一个至关重要的难题。过去,他曾在中国大陆多地深入考察,怎奈资金有限,甚至一度被某些地方的人误解为「骗子」。最初,他构想在香港建立工厂,为此还特地拜访了香港特区政府的官员,希望能得到支持和协助。

彼时,从海南调往上海,担任上海市经济委员会副主任的江上舟得知张汝京正在筹措建厂的消息时,热情邀请张汝京来上海考察。2000 年 1 月,张汝京来上海时,上海四套班子全部出动,张汝京由此决定将自己的建厂计划从香港移至上海。

张汝京在后来回忆这段经历时表示:「如果没有江上舟,我早跑了。」

再战,成立中芯国际

2000 年 4 月,张汝京说服自己的美籍太太,将包括 90 多岁的母亲在内的一家人都接到上海,并放弃了台湾省的户口,创立了中芯国际集成电路制造有限公司。当时的张汝京缺乏人才、缺乏资金也缺乏设备,不过没关系,这些都不足以拖住他向前行的脚步。

没有人才那就找人才,张汝京先后凭借人格魅力,广发英雄贴,一年多时间里,集结了国际上 400 多位芯片业技术工程师。其中包括张汝京曾经的老部下、台积电的老员工等。不仅如此,2000 年前后,还一批有才华、有阅历的人才集中回归,让中国芯片行业陡然加速。除了张汝京之外,还有展讯的武平、中星微的邓中翰、芯原的戴伟民、兆易的朱一明等。

没有资金那就找资金,张汝京成功拿到了来自美国的高盛、华登国际,来自中国台湾的汉鼎亚太,来自新加坡的祥峰投资,还有有着中国政府背景的上海实业、北大青鸟等公司的投资。

设备是最让人头疼的,因为瓦斯纳协定,几乎全面封锁了引进的可能性。为了突破设备禁运,张汝京找到了五家美国教会组织做担保,让芯片制造设备能够顺利地运到上海。

2000 年,内地芯片产业刚刚发展,且势头并不猛烈,甚至可以说当时正值遇冷阶段,这初创公司来说着实不利,但张汝京不这么认为。

在行业整体看衰,不断有人退出的情况下,张汝京依靠自身人脉,大批量购入低价的二手设备,迅速为中芯建立了 3 条 8 英寸晶圆生产线,赶在产业复苏前将产能准备做到完全充分,创造了全球最快的芯片厂建厂记录。也正是凭借此,中芯很快在内地半导体代工市场打下半壁江山。

2003 年前后,为扩大规模,张汝京进行了第二次私募,并在北京几乎同时开建两座 12 英寸晶圆厂,还低价收购了当时摩托罗拉一座位于天津的工厂。约前后 3 年多时间建 6 座厂,初期集成电路线宽从 0.25 微米、0.18 微米一直做到 90nm 的成绩。这使张汝京也收获了「建厂狂魔」的称号。

2004 年 3 月,中芯国际在香港和美国两地挂牌上市时,根据研究机构 IDC 的研究报告,在 2004 年第三季度,中芯国际产值已经超越新加坡特许半导体(CSM),晋身为全球第三大晶圆代工厂。

按理说,这个时候的张汝京已经迎来了人生最辉煌的时刻,但危机也同时出现。

在这里,不得不提的便是张汝京与张忠谋的交锋。1987 年,张忠谋在中国台湾新竹科学园区创建了全球第一家专业代工公司——台湾积体电路制造公司(台积电),并迅速发展为中国台湾半导体业的领头羊。

中芯国际在起步中曾招揽了不少原台积电的工程师,招募过程中涉及了公司产品工艺流程的泄露。于是,台积电对中芯国际展开频频进攻,多次以技术专利为由起诉中芯国际。

2003 年 8 月,在中芯国际即将在香港上市的关键时刻,台积电就商业机密等问题要求赔偿 10 亿美金。2005 年 2 月,双方达成庭外和解。1 年零 7 个月后,台积电再次状告中芯国际违反和解协议。2009 年 9 月台积电胜诉。2 亿美元赔偿金、10% 的公司股权,让这场打了 6 年的官司,成为中国半导体行业最惨烈的一战。

而最惨痛的代价是,张汝京必须辞职,离开他付诸心血一手承办、一路奔跑长达九年的中芯国际。2009 年 11 月,张汝京递交辞呈,与此同时,他还签署了竞业协议。

尽管被迫离开自己一手创办的中芯国际,但张汝京丝毫没有抱怨或难过,他反而安慰朋友们,「不要认为这是人生中很大的失败,不要被打趴下,人生总是要不断的努力。」

张汝京离开后,中芯国际由江上舟接任。在任上,江上舟邀请王宁国、杨士宁等业内顶尖人才加盟中芯国际,剥离非核心业务,使中芯国际在 2010 年扭亏为盈。他也因此被誉为「中芯国际的两大战神之一」。

后来,张汝京坦言:「这个过程对我而言,我觉得焉知非福。因为那个项目做起来了,中芯国际开创的不错,现在接班也很好。」

在 3 年的竞业协议限制下,张汝京转身进入 LED 研发制造及 LED 相关应用产品领域,短短 3 年不到的时间内,张汝京在国内投资了 4 家 LED 企业,涵盖 LED 上游衬底材料、芯片和下游照明应用领域,投资金额超过 35 亿元,致力于环保与健康领域。

再度接力,创办新昇

离开了中芯国际,张汝京并未离开大陆,也未离开集成电路行业。2014 年,沉寂了 5 年的张汝京第三次创业,在上海创办了新昇半导体公司。

据悉,张汝京创立上海新昇的背景是,当时中国大陆 12 英寸硅片存在诸多掣肘,高度依赖进口,张汝京此次创业目的就是为解决这些问题。

2016 年,上海新昇成功拉出第一根 300mm 单晶硅棒,2018 年实现规模化生产,开启了国内 300mm 半导体硅片产业化进程。

3 年后,张汝京又将新昇公司交给了上海硅产业集团继续经营管理,自己则投入下一段创业。这一次,他依旧做了一件没有人做过的事情。

张汝京曾公开表示,「我也很高兴现在国内缺大硅片的问题开始有了实质性的解决方案,那就交给国家来继续做大做强。其实我最想做的是 IDM(垂直整合制造模式)。」

转战青岛,成立芯恩

2018 年,张汝京又转战青岛,成立了国内第一家 CIDM 模式的企业芯恩半导体。这是他继世大半导体、中芯国际、LED 研发制造领域、上海新昇之后的第五次创业。

芯恩是中国首个协同式集成电路制造 (CIDM) 项目。该项目可以实现高端数模混合以及特殊工艺的 8 英寸以及 12 英寸芯片、国内先进光掩膜版等集成电路产品的量产,将打造成与国外先进 IDM 同等规模的国内先进的垂直整合芯片厂。2021 年 8 月,芯恩半导体 8 英寸厂项目投片成功。

2020 年下半年开始的全球性芯片短缺,主要缺的是 8 英寸和 12 英寸成熟制程的产品,特别是新能源电动汽车的需求主要集中在 8 英寸(200mm,0.11 微米及以上)和 40nm/28nm 及以上的 12 英寸(300mm)。

加入积塔,再续传奇

2022 年 5 月,74 岁高龄的张汝京从青岛芯恩离职,加入上海积塔半导体。业界的解读是,张汝京是想缓解新能源汽车行业缺芯困局。国内车规级芯片的投入、关注度、资源等相对较少,高端微控制芯片对进口依赖。

而对于这些创业历程,无论是选择继续坚守还是决定离开,张汝京对外界的询问都保持了低调的态度,鲜少做出过多的解释。他通常将离开的原因归结为「个人的兴趣所向」。

有人曾这样赞誉张汝京,他怀揣着中国半导体产业的宏伟梦想,为了这个梦想,他舍弃了所有,这份决心与执着,无疑是最值得人们敬仰的。

正是有了像张汝京这样的杰出人物,中国的半导体产业才得以在激烈的国际竞争中崭露头角。他的成就不仅属于他个人,更属于整个中国半导体产业。在「中国芯」的征程上,若我们能多一些如张汝京般的人物,那么中国的「芯」事将不再是压在心头的沉重负担,而是充满无限可能的希望之光。我们期待着在更多像张汝京这样的领军人物的引领下,中国的半导体产业能够继续蓬勃发展,为国家的繁荣富强作出更大的贡献。

]]>
在中国半导体事业起步的艰难岁月,有这样一位杰出人物,他怀揣着一颗坚定的「中国芯」,即便在半导体行业面临重重困境之际,仍毅然决然地投身于芯片产业的浪潮之中。哪怕历经封杀与打压,他的那份初心却始终如一,毫不动摇。

这个人,便是被誉为「中国芯片教父」的张汝京先生。今天,让我们一起走进这位传奇人物的故事。

与「芯」结缘,积累丰富的建厂经验

1948 年,张汝京在江苏南京出生,1949 年 1 月随父母迁居中国台湾。1970 年,从台湾大学毕业,获机械工程学士学位,又留学美国,获得了纽约州立大学水牛城大学的工程科学硕士和南卫理公会大学电子工程博士学位。

随后在 1977 年,29 岁的张汝京入职美国半导体企业德州仪器(TI),之后没多久就加入了诺贝尔物理学奖获得者、集成电路的发明人杰克·基尔比(Jack Kilby)所领导的 DRAM 团队,也是从这一年开始,张汝京先生与芯片结下了深厚的缘分。

张汝京在 TI 的职业生涯始于基层小工头,他亲自带领工人们完成安装、生产和维修的全部工作,并一路晋升为厂务设备经理。之后张汝京转型运营,并在 1990 年左右,开始跟着当时世界顶级的芯片工厂建设大师邵子凡博士,开启了他的建厂之旅。张汝京思维周密、执行力强,每到一地,开荒立桩,不出两年走上正轨,再转战下一城,先后在美国、日本、新加坡、意大利等地参与建造和管理过 10 来家晶圆工厂,成为业内公认的「建厂高手」。

在 TI 的建厂历程中,张汝京积累了丰富的经验,这些宝贵的经历为他回国后疯狂投入建厂事业打下了坚实的基础。

在张汝京的事业蒸蒸日上,成为全球芯片行业知名的建厂专家之后,他的父亲张锡纶问了儿子这样一个问题:「你什么时候去大陆建厂?」

父亲的问题,在 90 年代末迎来了解答的契机。

1997 年,张汝京申请从德州仪器提前退休,这已经是张汝京在 TI 的第二十个年头。而这一年,也成为了他人生新篇章的起点,翻开了更为波澜壮阔的一页。

初征,加入世大

届时,中国大陆半导体行业发展刚刚起步。张汝京敏锐地觉察出中国芯片领域将迎来一波大发展,萌生了回国发展芯片产业的想法。张汝京说过一句话:以做中国人为荣。我们就是中国人,如果还不够好,我们努力让中国变得更好,所以我很愿意回来。

离开美国,张汝京最先来到位于江苏无锡的芯片厂,做 0.5 微米的技术研发项目。可是只完成了一个项目,便因为政策原因辗转至中国台湾地区,在华邦电和中华开发投资银行的邀请下,加入了世大半导体——继台积电、联华电子之后,中国台湾的第三家晶圆代工厂商。由于在建厂和经营管理方面比较有经验,作为中华开发副总的张汝京,很快成为世大半导体的总经理。

在张汝京的苦心经营之下,世大半导体在成立仅三年后就实现了盈利。不过,没多久就传出了台积电欲收购世大的消息。

世大被收购是台积电与联电激烈竞争的结果之一。1999 年,联电与旗下的联诚、联瑞、联嘉以及合泰五家公司「五合一」合并,这家资本额高达八百多亿的企业,对台积电构成了威胁,迫使台积电将手伸向了世大。

在张汝京原来的筹划中,世大的第三厂到第十厂要在大陆投资建造。在和台积电谈收购的过程中,他也将未来在大陆建厂作为同意交易的条件之一。然而,遗憾的是,收购完成后,原先承诺好的建厂计划却未能如期实现。

后来,张汝京在大失所望之下,向公司愤然离职。尽管台积电想用丰厚的股权挽留张汝京,毕竟是不可多得的人才,但是张汝京不为所动,铁了心要去大陆建芯片厂。

钱不要了、公司不要了、股票也不要了,离开后,张汝京第一站去了香港。

然而,厂址的选择对于张汝京而言,却是一个至关重要的难题。过去,他曾在中国大陆多地深入考察,怎奈资金有限,甚至一度被某些地方的人误解为「骗子」。最初,他构想在香港建立工厂,为此还特地拜访了香港特区政府的官员,希望能得到支持和协助。

彼时,从海南调往上海,担任上海市经济委员会副主任的江上舟得知张汝京正在筹措建厂的消息时,热情邀请张汝京来上海考察。2000 年 1 月,张汝京来上海时,上海四套班子全部出动,张汝京由此决定将自己的建厂计划从香港移至上海。

张汝京在后来回忆这段经历时表示:「如果没有江上舟,我早跑了。」

再战,成立中芯国际

2000 年 4 月,张汝京说服自己的美籍太太,将包括 90 多岁的母亲在内的一家人都接到上海,并放弃了台湾省的户口,创立了中芯国际集成电路制造有限公司。当时的张汝京缺乏人才、缺乏资金也缺乏设备,不过没关系,这些都不足以拖住他向前行的脚步。

没有人才那就找人才,张汝京先后凭借人格魅力,广发英雄贴,一年多时间里,集结了国际上 400 多位芯片业技术工程师。其中包括张汝京曾经的老部下、台积电的老员工等。不仅如此,2000 年前后,还一批有才华、有阅历的人才集中回归,让中国芯片行业陡然加速。除了张汝京之外,还有展讯的武平、中星微的邓中翰、芯原的戴伟民、兆易的朱一明等。

没有资金那就找资金,张汝京成功拿到了来自美国的高盛、华登国际,来自中国台湾的汉鼎亚太,来自新加坡的祥峰投资,还有有着中国政府背景的上海实业、北大青鸟等公司的投资。

设备是最让人头疼的,因为瓦斯纳协定,几乎全面封锁了引进的可能性。为了突破设备禁运,张汝京找到了五家美国教会组织做担保,让芯片制造设备能够顺利地运到上海。

2000 年,内地芯片产业刚刚发展,且势头并不猛烈,甚至可以说当时正值遇冷阶段,这初创公司来说着实不利,但张汝京不这么认为。

在行业整体看衰,不断有人退出的情况下,张汝京依靠自身人脉,大批量购入低价的二手设备,迅速为中芯建立了 3 条 8 英寸晶圆生产线,赶在产业复苏前将产能准备做到完全充分,创造了全球最快的芯片厂建厂记录。也正是凭借此,中芯很快在内地半导体代工市场打下半壁江山。

2003 年前后,为扩大规模,张汝京进行了第二次私募,并在北京几乎同时开建两座 12 英寸晶圆厂,还低价收购了当时摩托罗拉一座位于天津的工厂。约前后 3 年多时间建 6 座厂,初期集成电路线宽从 0.25 微米、0.18 微米一直做到 90nm 的成绩。这使张汝京也收获了「建厂狂魔」的称号。

2004 年 3 月,中芯国际在香港和美国两地挂牌上市时,根据研究机构 IDC 的研究报告,在 2004 年第三季度,中芯国际产值已经超越新加坡特许半导体(CSM),晋身为全球第三大晶圆代工厂。

按理说,这个时候的张汝京已经迎来了人生最辉煌的时刻,但危机也同时出现。

在这里,不得不提的便是张汝京与张忠谋的交锋。1987 年,张忠谋在中国台湾新竹科学园区创建了全球第一家专业代工公司——台湾积体电路制造公司(台积电),并迅速发展为中国台湾半导体业的领头羊。

中芯国际在起步中曾招揽了不少原台积电的工程师,招募过程中涉及了公司产品工艺流程的泄露。于是,台积电对中芯国际展开频频进攻,多次以技术专利为由起诉中芯国际。

2003 年 8 月,在中芯国际即将在香港上市的关键时刻,台积电就商业机密等问题要求赔偿 10 亿美金。2005 年 2 月,双方达成庭外和解。1 年零 7 个月后,台积电再次状告中芯国际违反和解协议。2009 年 9 月台积电胜诉。2 亿美元赔偿金、10% 的公司股权,让这场打了 6 年的官司,成为中国半导体行业最惨烈的一战。

而最惨痛的代价是,张汝京必须辞职,离开他付诸心血一手承办、一路奔跑长达九年的中芯国际。2009 年 11 月,张汝京递交辞呈,与此同时,他还签署了竞业协议。

尽管被迫离开自己一手创办的中芯国际,但张汝京丝毫没有抱怨或难过,他反而安慰朋友们,「不要认为这是人生中很大的失败,不要被打趴下,人生总是要不断的努力。」

张汝京离开后,中芯国际由江上舟接任。在任上,江上舟邀请王宁国、杨士宁等业内顶尖人才加盟中芯国际,剥离非核心业务,使中芯国际在 2010 年扭亏为盈。他也因此被誉为「中芯国际的两大战神之一」。

后来,张汝京坦言:「这个过程对我而言,我觉得焉知非福。因为那个项目做起来了,中芯国际开创的不错,现在接班也很好。」

在 3 年的竞业协议限制下,张汝京转身进入 LED 研发制造及 LED 相关应用产品领域,短短 3 年不到的时间内,张汝京在国内投资了 4 家 LED 企业,涵盖 LED 上游衬底材料、芯片和下游照明应用领域,投资金额超过 35 亿元,致力于环保与健康领域。

再度接力,创办新昇

离开了中芯国际,张汝京并未离开大陆,也未离开集成电路行业。2014 年,沉寂了 5 年的张汝京第三次创业,在上海创办了新昇半导体公司。

据悉,张汝京创立上海新昇的背景是,当时中国大陆 12 英寸硅片存在诸多掣肘,高度依赖进口,张汝京此次创业目的就是为解决这些问题。

2016 年,上海新昇成功拉出第一根 300mm 单晶硅棒,2018 年实现规模化生产,开启了国内 300mm 半导体硅片产业化进程。

3 年后,张汝京又将新昇公司交给了上海硅产业集团继续经营管理,自己则投入下一段创业。这一次,他依旧做了一件没有人做过的事情。

张汝京曾公开表示,「我也很高兴现在国内缺大硅片的问题开始有了实质性的解决方案,那就交给国家来继续做大做强。其实我最想做的是 IDM(垂直整合制造模式)。」

转战青岛,成立芯恩

2018 年,张汝京又转战青岛,成立了国内第一家 CIDM 模式的企业芯恩半导体。这是他继世大半导体、中芯国际、LED 研发制造领域、上海新昇之后的第五次创业。

芯恩是中国首个协同式集成电路制造 (CIDM) 项目。该项目可以实现高端数模混合以及特殊工艺的 8 英寸以及 12 英寸芯片、国内先进光掩膜版等集成电路产品的量产,将打造成与国外先进 IDM 同等规模的国内先进的垂直整合芯片厂。2021 年 8 月,芯恩半导体 8 英寸厂项目投片成功。

2020 年下半年开始的全球性芯片短缺,主要缺的是 8 英寸和 12 英寸成熟制程的产品,特别是新能源电动汽车的需求主要集中在 8 英寸(200mm,0.11 微米及以上)和 40nm/28nm 及以上的 12 英寸(300mm)。

加入积塔,再续传奇

2022 年 5 月,74 岁高龄的张汝京从青岛芯恩离职,加入上海积塔半导体。业界的解读是,张汝京是想缓解新能源汽车行业缺芯困局。国内车规级芯片的投入、关注度、资源等相对较少,高端微控制芯片对进口依赖。

而对于这些创业历程,无论是选择继续坚守还是决定离开,张汝京对外界的询问都保持了低调的态度,鲜少做出过多的解释。他通常将离开的原因归结为「个人的兴趣所向」。

有人曾这样赞誉张汝京,他怀揣着中国半导体产业的宏伟梦想,为了这个梦想,他舍弃了所有,这份决心与执着,无疑是最值得人们敬仰的。

正是有了像张汝京这样的杰出人物,中国的半导体产业才得以在激烈的国际竞争中崭露头角。他的成就不仅属于他个人,更属于整个中国半导体产业。在「中国芯」的征程上,若我们能多一些如张汝京般的人物,那么中国的「芯」事将不再是压在心头的沉重负担,而是充满无限可能的希望之光。我们期待着在更多像张汝京这样的领军人物的引领下,中国的半导体产业能够继续蓬勃发展,为国家的繁荣富强作出更大的贡献。

]]>
http://www.amcfsurvey.com/article/202404/457954.htm Tue, 23 Apr 2024 10:46:21 +0800
<![CDATA[ NVIDIA与日本合作开发尖端的ABCI-Q量子超级计算机 ]]> 在NVIDIA人工智能与高性能计算基础架构的帮助下,日本通过大规模开发在量子和人工智能计算领域取得快速进展,据《日经亚洲》(Nikkei Asia)报道称,日本国家先进工业与技术研究所(AIST)正在建造一台量子超级计算机,以期在这一特殊领域取得卓越成就。

无标题.jpg

这个新项目名为 ABCI-Q,将完全由英伟达公司的加速和量子计算平台提供算力,预示着该系统将实现高性能和高效率。这台日本超级计算机还将与富士通公司合作建造。

英伟达公司在早些时候的一篇博客文章中表示,该公司计划将其英伟达 CUDA-Q 平台集成到该系统中。该平台是一种开源资源,允许用户利用量子经典应用。CUDA-Q将作为超级计算机的一个组成部分,可以轻松集成相关的CPU和GPU。此外,Team Green还计划安装2000个英伟达H100人工智能GPU,并采用最新的英伟达Quantum-2 InfiniBand互联技术。

NVIDIA高性能计算与量子计算总监 Tim Costa对此表示,研究人员需要高性能仿真来解决量子计算中最棘手的问题。CUDA-Q 和 NVIDIA H100 可帮助 ABCI 等先驱取得关键进展,加快量子集成超级计算的发展。

日本的 ABCI-Q 超级计算机是该国技术创新阶段的一部分,他们计划利用量子计算和人工智能等当代技术的优势,在主流消费行业中领跑。

几个月前,英伟达公司首席执行官黄仁勋会见了日本首相岸田文雄,双方谈到了加强多领域合作,为日本的需求提供稳定的人工智能设备供应。ABCI-Q 的发布只是日本与英伟达之间建立广泛合作关系的第一步。

]]>
在NVIDIA人工智能与高性能计算基础架构的帮助下,日本通过大规模开发在量子和人工智能计算领域取得快速进展,据《日经亚洲》(Nikkei Asia)报道称,日本国家先进工业与技术研究所(AIST)正在建造一台量子超级计算机,以期在这一特殊领域取得卓越成就。

无标题.jpg

这个新项目名为 ABCI-Q,将完全由英伟达公司的加速和量子计算平台提供算力,预示着该系统将实现高性能和高效率。这台日本超级计算机还将与富士通公司合作建造。

英伟达公司在早些时候的一篇博客文章中表示,该公司计划将其英伟达 CUDA-Q 平台集成到该系统中。该平台是一种开源资源,允许用户利用量子经典应用。CUDA-Q将作为超级计算机的一个组成部分,可以轻松集成相关的CPU和GPU。此外,Team Green还计划安装2000个英伟达H100人工智能GPU,并采用最新的英伟达Quantum-2 InfiniBand互联技术。

NVIDIA高性能计算与量子计算总监 Tim Costa对此表示,研究人员需要高性能仿真来解决量子计算中最棘手的问题。CUDA-Q 和 NVIDIA H100 可帮助 ABCI 等先驱取得关键进展,加快量子集成超级计算的发展。

日本的 ABCI-Q 超级计算机是该国技术创新阶段的一部分,他们计划利用量子计算和人工智能等当代技术的优势,在主流消费行业中领跑。

几个月前,英伟达公司首席执行官黄仁勋会见了日本首相岸田文雄,双方谈到了加强多领域合作,为日本的需求提供稳定的人工智能设备供应。ABCI-Q 的发布只是日本与英伟达之间建立广泛合作关系的第一步。

]]>
http://www.amcfsurvey.com/article/202404/457952.htm Tue, 23 Apr 2024 09:39:27 +0800
<![CDATA[ 英特尔与五角大楼深化合作 开发世界最先进芯片 ]]>

在与五角大楼签署第一阶段"快速保证微电子原型 RAMP-C 计划"两年半之后,英特尔又加深了与国防部的合作关系。英特尔、五角大楼以及由《CHIPS 法案》资助的国家安全加速器计划现已同意合作生产只能在欧洲或亚洲制造的先进芯片制造工艺的早期测试样品。

在与五角大楼签署第一阶段"快速保证微电子原型 RAMP-C 计划"两年半之后,英特尔又加深了与国防部的合作关系。英特尔、五角大楼以及由《CHIPS 法案》资助的国家安全加速器计划现已同意合作生产只能在欧洲或亚洲制造的先进芯片制造工艺的早期测试样品。

这家芯片制造商今天早些时候表示,有了 RAMP-C,美国政府将能够首次获得领先的芯片制造技术。

无标题.jpg

RAMP-C 计划的第三阶段将涵盖英特尔未来的 18A 制造工艺制造的原型。这些高端芯片制造工艺通常用于消费类处理器,因为它们在运行计算和图形重型应用时需要耗费大量电能。

为国家安全应用制造 18A 芯片是英特尔与其 DIB(国防工业基地)客户合作的一部分。这些客户包括承包商诺斯罗普-格鲁曼公司(Northrop Grumman)和波音公司(Boeing),以及微软(Microsoft)、英伟达(NVIDIA)和国际商业机器公司(IBM)等消费企业。

该技术是英特尔的下一代工艺节点,根据公司高管此前的声明,其前身(即 20A 工艺)应于 2024 年投入生产。去年年底,英特尔公司首席执行官帕特里克-盖尔辛格(Patrick Gelsinger)透露,18A 工艺已提前实现量产。

与此同时,英特尔还发布了一份2022年12月的路线图,两个月后,英特尔又发布了另一份路线图,详细说明了18A工艺可在2024年下半年实现风险生产(或英特尔所称的制造准备就绪)。RAMP-C合同的第三阶段强调了英特尔18A工艺技术、知识产权(IP)和生态系统解决方案,为大批量生产(HVM)做好了准备。

无标题.jpg

盖尔辛格还宣传英特尔 18A 芯片卓越的电源管理能力,将其与台湾半导体制造公司(TSMC)的 2 纳米技术相提并论。继Intel 3工艺之后,英特尔的芯片工艺技术术语已转向埃米级。

这意味着,纯粹根据其营销名称进行比较,18A 芯片工艺相当于 1.8 纳米。在芯片制造中,越小越好,因为更小的电路能够提高导电性和性能吞吐量。现代芯片在极小的空间内挤下了数十亿个晶体管,与前代产品相比,可以处理更多的数据。

作为今天发布会的一部分,国防部微电子工程负责人 Dev Shenoy 博士评论说,五角大楼预计"在 2025 年展示英特尔 18A 芯片的原型生产"。英特尔代工厂 RAMP-C 的第三阶段将集中于芯片设计的敲定。这是设计流程的最后阶段,工程师们将完成流程中的概念部分,并将工作转向在生产流程中指导先进芯片制造设备的掩模。

本月早些时候,英特尔公司首次开启了世界上最先进的芯片制造设备。这些被称为高 NA EUV 的机器将简化设计流程,从而缩短芯片制造时间。

]]>

在与五角大楼签署第一阶段"快速保证微电子原型 RAMP-C 计划"两年半之后,英特尔又加深了与国防部的合作关系。英特尔、五角大楼以及由《CHIPS 法案》资助的国家安全加速器计划现已同意合作生产只能在欧洲或亚洲制造的先进芯片制造工艺的早期测试样品。

在与五角大楼签署第一阶段"快速保证微电子原型 RAMP-C 计划"两年半之后,英特尔又加深了与国防部的合作关系。英特尔、五角大楼以及由《CHIPS 法案》资助的国家安全加速器计划现已同意合作生产只能在欧洲或亚洲制造的先进芯片制造工艺的早期测试样品。

这家芯片制造商今天早些时候表示,有了 RAMP-C,美国政府将能够首次获得领先的芯片制造技术。

无标题.jpg

RAMP-C 计划的第三阶段将涵盖英特尔未来的 18A 制造工艺制造的原型。这些高端芯片制造工艺通常用于消费类处理器,因为它们在运行计算和图形重型应用时需要耗费大量电能。

为国家安全应用制造 18A 芯片是英特尔与其 DIB(国防工业基地)客户合作的一部分。这些客户包括承包商诺斯罗普-格鲁曼公司(Northrop Grumman)和波音公司(Boeing),以及微软(Microsoft)、英伟达(NVIDIA)和国际商业机器公司(IBM)等消费企业。

该技术是英特尔的下一代工艺节点,根据公司高管此前的声明,其前身(即 20A 工艺)应于 2024 年投入生产。去年年底,英特尔公司首席执行官帕特里克-盖尔辛格(Patrick Gelsinger)透露,18A 工艺已提前实现量产。

与此同时,英特尔还发布了一份2022年12月的路线图,两个月后,英特尔又发布了另一份路线图,详细说明了18A工艺可在2024年下半年实现风险生产(或英特尔所称的制造准备就绪)。RAMP-C合同的第三阶段强调了英特尔18A工艺技术、知识产权(IP)和生态系统解决方案,为大批量生产(HVM)做好了准备。

无标题.jpg

盖尔辛格还宣传英特尔 18A 芯片卓越的电源管理能力,将其与台湾半导体制造公司(TSMC)的 2 纳米技术相提并论。继Intel 3工艺之后,英特尔的芯片工艺技术术语已转向埃米级。

这意味着,纯粹根据其营销名称进行比较,18A 芯片工艺相当于 1.8 纳米。在芯片制造中,越小越好,因为更小的电路能够提高导电性和性能吞吐量。现代芯片在极小的空间内挤下了数十亿个晶体管,与前代产品相比,可以处理更多的数据。

作为今天发布会的一部分,国防部微电子工程负责人 Dev Shenoy 博士评论说,五角大楼预计"在 2025 年展示英特尔 18A 芯片的原型生产"。英特尔代工厂 RAMP-C 的第三阶段将集中于芯片设计的敲定。这是设计流程的最后阶段,工程师们将完成流程中的概念部分,并将工作转向在生产流程中指导先进芯片制造设备的掩模。

本月早些时候,英特尔公司首次开启了世界上最先进的芯片制造设备。这些被称为高 NA EUV 的机器将简化设计流程,从而缩短芯片制造时间。

]]>
http://www.amcfsurvey.com/article/202404/457951.htm Tue, 23 Apr 2024 09:37:56 +0800
<![CDATA[ 以 Xbox 为灵感,Meta 将和微软合作推出限量版 Quest 头显 ]]> 4 月 23 日消息,Meta 向第三方硬件制造商开放其 MR 操作系统之外,还计划和微软公司合作,将推出灵感来自于 Xbox 的限量版 Meta Quest 头显设备。

无标题.jpg

Meta Quest 2、3 和 Pro 头显已经于 2023 年 12 月通过 Xbox Game Pass Ultimate 认证,为佩戴者和玩家带来了 Xbox Cloud Gaming 云游戏体验。

Meta 公司在博文中表示,将会推出一款“灵感来自 Xbox”的限量版 Meta Quest,但并未披露关于该头显的更多细节。

Meta 公司在博文中表示:

Xbox 和 Meta 合作,去年为 Meta Quest 头显用户带来了 Xbox Cloud Gaming (Beta) ,让玩家在混合现实的 2D 虚拟大屏幕上玩 Xbox 游戏。现在,我们再次合作,以 Xbox 为灵感,打造限量版 Meta Quest。

国外媒体推测限量版 Meta Quest 将采用一些绿色装饰,并附带 Game Pass 试用版。以下图源均来自Meta新闻稿,并非际产品效果图。

无标题.jpg

无标题.jpg

无标题.jpg

无标题.jpg


]]>
4 月 23 日消息,Meta 向第三方硬件制造商开放其 MR 操作系统之外,还计划和微软公司合作,将推出灵感来自于 Xbox 的限量版 Meta Quest 头显设备。

无标题.jpg

Meta Quest 2、3 和 Pro 头显已经于 2023 年 12 月通过 Xbox Game Pass Ultimate 认证,为佩戴者和玩家带来了 Xbox Cloud Gaming 云游戏体验。

Meta 公司在博文中表示,将会推出一款“灵感来自 Xbox”的限量版 Meta Quest,但并未披露关于该头显的更多细节。

Meta 公司在博文中表示:

Xbox 和 Meta 合作,去年为 Meta Quest 头显用户带来了 Xbox Cloud Gaming (Beta) ,让玩家在混合现实的 2D 虚拟大屏幕上玩 Xbox 游戏。现在,我们再次合作,以 Xbox 为灵感,打造限量版 Meta Quest。

国外媒体推测限量版 Meta Quest 将采用一些绿色装饰,并附带 Game Pass 试用版。以下图源均来自Meta新闻稿,并非际产品效果图。

无标题.jpg

无标题.jpg

无标题.jpg

无标题.jpg


]]>
http://www.amcfsurvey.com/article/202404/457950.htm Tue, 23 Apr 2024 09:35:51 +0800
<![CDATA[ 路虎揽胜电动版实拍图出炉:与燃油版基本一致,今年晚些时候上市 ]]> 4 月 23 日消息,捷豹路虎旗下全新纯电路虎揽胜即将于今年晚些时候上市,目前正处于严苛的上市前测试阶段。近日,这辆路虎第二款量产纯电动汽车在北极圈进行测试的照片已经出炉,该地气温低至零下 40 摄氏度。

无标题.jpg

不同寻常的是,这辆纯电揽胜完全没有伪装,捷豹路虎表示此举是为了“强调初始样车的制造质量”。这辆原型车全身漆黑,没有此前捷豹路虎暗示过的哑光饰件(用来区分纯电动车型),整体外观与自 2022 年上市的燃油版揽胜路虎几乎没有区别。

无标题.jpg

无标题.jpg

无标题.jpg

捷豹路虎称,这些新图片展现了原型车“现代的设计语言忠于揽胜路虎的血统”,暗示即将上市的路虎揽胜电动版(官方命名)将与直列六缸、V8 发动机以及插电混动版本保持着很大程度的相似性。这种做法与梅赛德斯-奔驰即将在本周北京车展发布的纯电 G 级相似,后者外观预计也将与燃油版本保持高度一致。

无标题.jpg

无标题.jpg


无标题.jpg


无标题.jpg

目前,路虎揽胜电动版的测试重点放在其变速箱、电动机和电子设备在极端条件下的性能表现。捷豹路虎强调,这是第一辆使用该公司自主组装的电池和电动驱动单元 (EDU) 的汽车。

该公司还透露,新车没有采用传统的基于 ABS 的牵引力控制系统,而是使用新的软件来精确控制每个车轮的滑移,据称将“每个车轮的扭矩反应时间从大约 100 毫秒减少到 1 毫秒”。因此,“在所有路面上都能实现最大化的牵引力,响应出色,操控精细,显著提升了揽胜路虎的驾驶体验”。

目前官方尚未公布具体的技术细节或性能数据,但此前已确认该车将采用 800V 充电架构。捷豹路虎去年 12 月开放了首批揽胜电动版的意向登记,到今年 2 月份时,该公司表示已收到超过 16,000 份意向登记。

虽然官方尚未公布新车性能细节,但承诺其将拥有与燃油版相同的“全地形”能力,并且牵引、涉水和越野能力将超越任何其他豪华电动 SUV,包括可以涉水通过 850 毫米深的水域。暗示揽胜电动版的性能将媲美现有 V8 发动机的版本,表明其总输出功率可能接近该车型的 523bhp。

预计该车将采用双电机系统,带来更强的四轮驱动能力,并且诸如扭矩矢量控制等系统也将提升其越野性能。捷豹路虎表示,其测试项目经过了特殊调整,以特别检验车辆的地板、电池耐久性和散热性能。

揽胜电动版将与现有的轻混和插电混动版本一起在索利哈尔工厂生产。最初将使用第三方供应商的电池,之后将逐步切换到捷豹路虎母公司塔塔集团计划在 Somerset 建造的新型超级工厂生产的电池组。

有趣的是,捷豹路虎项目总监 Nick Miller 此前表示,MLA 架构还可以轻松容纳氢动力系统,这意味着未来可能会推出氢燃料电池驱动的揽胜。不过,捷豹路虎没有透露其氢动力开发项目“Project Zeus”的最新进展。捷豹路虎此前曾测试过氢燃料驱动的卫士原型车,并表示氢燃料将作为其全系车型的“补充技术”,以实现其在 2036 年之前实现零尾气排放的目标。

]]>
4 月 23 日消息,捷豹路虎旗下全新纯电路虎揽胜即将于今年晚些时候上市,目前正处于严苛的上市前测试阶段。近日,这辆路虎第二款量产纯电动汽车在北极圈进行测试的照片已经出炉,该地气温低至零下 40 摄氏度。

无标题.jpg

不同寻常的是,这辆纯电揽胜完全没有伪装,捷豹路虎表示此举是为了“强调初始样车的制造质量”。这辆原型车全身漆黑,没有此前捷豹路虎暗示过的哑光饰件(用来区分纯电动车型),整体外观与自 2022 年上市的燃油版揽胜路虎几乎没有区别。

无标题.jpg

无标题.jpg

无标题.jpg

捷豹路虎称,这些新图片展现了原型车“现代的设计语言忠于揽胜路虎的血统”,暗示即将上市的路虎揽胜电动版(官方命名)将与直列六缸、V8 发动机以及插电混动版本保持着很大程度的相似性。这种做法与梅赛德斯-奔驰即将在本周北京车展发布的纯电 G 级相似,后者外观预计也将与燃油版本保持高度一致。

无标题.jpg

无标题.jpg


无标题.jpg


无标题.jpg

目前,路虎揽胜电动版的测试重点放在其变速箱、电动机和电子设备在极端条件下的性能表现。捷豹路虎强调,这是第一辆使用该公司自主组装的电池和电动驱动单元 (EDU) 的汽车。

该公司还透露,新车没有采用传统的基于 ABS 的牵引力控制系统,而是使用新的软件来精确控制每个车轮的滑移,据称将“每个车轮的扭矩反应时间从大约 100 毫秒减少到 1 毫秒”。因此,“在所有路面上都能实现最大化的牵引力,响应出色,操控精细,显著提升了揽胜路虎的驾驶体验”。

目前官方尚未公布具体的技术细节或性能数据,但此前已确认该车将采用 800V 充电架构。捷豹路虎去年 12 月开放了首批揽胜电动版的意向登记,到今年 2 月份时,该公司表示已收到超过 16,000 份意向登记。

虽然官方尚未公布新车性能细节,但承诺其将拥有与燃油版相同的“全地形”能力,并且牵引、涉水和越野能力将超越任何其他豪华电动 SUV,包括可以涉水通过 850 毫米深的水域。暗示揽胜电动版的性能将媲美现有 V8 发动机的版本,表明其总输出功率可能接近该车型的 523bhp。

预计该车将采用双电机系统,带来更强的四轮驱动能力,并且诸如扭矩矢量控制等系统也将提升其越野性能。捷豹路虎表示,其测试项目经过了特殊调整,以特别检验车辆的地板、电池耐久性和散热性能。

揽胜电动版将与现有的轻混和插电混动版本一起在索利哈尔工厂生产。最初将使用第三方供应商的电池,之后将逐步切换到捷豹路虎母公司塔塔集团计划在 Somerset 建造的新型超级工厂生产的电池组。

有趣的是,捷豹路虎项目总监 Nick Miller 此前表示,MLA 架构还可以轻松容纳氢动力系统,这意味着未来可能会推出氢燃料电池驱动的揽胜。不过,捷豹路虎没有透露其氢动力开发项目“Project Zeus”的最新进展。捷豹路虎此前曾测试过氢燃料驱动的卫士原型车,并表示氢燃料将作为其全系车型的“补充技术”,以实现其在 2036 年之前实现零尾气排放的目标。

]]>
http://www.amcfsurvey.com/article/202404/457949.htm Tue, 23 Apr 2024 09:33:04 +0800
<![CDATA[ 开关电源PCB设计 ]]> PCB设计是开关电源设计非常重要的一步,对电源的电性能、EMC、可靠性、可生产性都有关联。当前开关电源的功率密度越来越高,对PCB布局、布线的要求也越发严格,合理科学的PCB设计让电源开发事半功倍,以下细节供您参考。


一、布局要求

PCB布局是比较讲究的,不是说随便放上去,挤得下就完事的。一般PCB布局要遵循几点:



图1

3、放置器件时要考虑以后的焊接和维修,两个高度高的元件之间尽量避免放置矮小的元件,如图2所示,这样不利于生产和维护,元件之间最好也不要太密集,但是随着电子技术的发展,现在的开关电源越来越趋于小型化和紧凑化,所以就需要平衡好两者之间的度了,既要方便焊装与维护又要兼顾紧凑。

还有就是要考虑实际的贴片加工能力,按照IPC-A-610E的标准,考虑元件侧面偏移的精度,不然容易造成元件之间连锡,甚至由于元件偏移造成元件距离不够。


图2



图3


6、高频脉冲电流流过的区域要远离输入、输出端子,使噪声源远离输入、输出口,有利于提高EMC性能。


图4


如图4所示,左图变压器离入口太近,电磁的辐射能量直接作用于输入输出端,因此,EMI测试不通过。改为右边的方式后,变压器远离入口,电磁的辐射能量距输入输出端距离加大,效果改善明显,EMI测试通过。

7、发热元件(如变压器,开关管,整流二极管等)的布局要考虑散热的效果,使得整个电源的散热均匀,对温度敏感的关键元器件(如IC)应远离发热元件,发热较大的器件应与电解电容等影响整机寿命的器件有一定的距离。

8、布板时要注意底面元件的高度。例如对于灌封的DC-DC电源模块来说,因为DC-DC模块本身体积就比较小,如果底面元件的高度四边不平衡,灌封的时候会出现两边引脚高度一边高一边低的现象。


图5


9、布局的时候要注意控制引脚的抗静电能力,相应的电路元件之间的距离要足够,例如Ctrl引脚(低电平关断),其电路不像输入、输出端那样具有电容滤波,所以抗静电能力是整个模块最弱的,一定要确保有足够的安全间距。


二、走线原则

1、小信号走线要尽量远离大电流走线,两者不要靠近平行走线,如果无法避免平行的话,也要拉开足够的距离,避免小信号走线受到干扰。


图6


2、关键的小信号走线,如电流取样信号线和光耦反馈的信号线等,尽量减小回路包围的面积。


图7


3、相邻之间不应有过长的平行线(当然同一电流回路平行走线是可以的),上下层走线尽量采用交叉用垂直方式,走线不要突然拐角(即:≤90°),直角、锐角在高频电路中会影响电气性能。


图8



图9


图10


5、高频元件(如变压器、电感)底下第一层不要走线,高频元件正对着的底面也最好不要放置元件,如果无法避免,可以采用屏蔽的方式,例如高频元件在Top层,控制电路正对着在Bottom层,注意要在高频元件所在的第一层敷铜进行屏蔽,如图11所示,这样可以避免高频噪声辐射干扰到底面的控制电路。


图11


6、滤波电容的走线要特别注意,如图12,左图有一部分纹波&噪声会经过走线出去,右图滤波效果会好很多,纹波&噪声经过滤波电容被完全滤掉。


图12



图13


8、发热大的元件(如TO-252封装的MOS管)下可以大面积裸铜,用于散热,这样可以提高元件的可靠性。功率走线铜箔较窄处可以裸铜用于加锡以保证大电流的流通。


三、安规距离与工艺要求

1、电气间隙:两相邻导体或一个导体与相邻导电机壳表面的沿空气测量的最短距离。爬电距离:两相邻导体或一个导体与相邻导电机壳表面的沿着绝缘表面测量的最短距离。



图14


一般电源模块电压与最小爬电距离的关系可参照下表:


2、元件到板边的距离要求。位于电路板边缘的元器件,离电路板边缘一般不少于2mm,对于像10W以下的小型化DC-DC模块,由于元件体积和高度比较小,而且输入输出电压不高,为了满足小型化的要求,也要至少留有0.5mm以上的距离。

大面积铜箔到外框的距离应至少保证0.20mm以上的间距,因在铣外形时容易铣到铜箔上造成铜箔翘起及由其引起焊剂脱落问题。

3、若走线入圆焊盘或过孔的宽度较圆焊盘的直径小时,则需加泪滴,加强吸附力,避免焊盘或过孔脱落。


图15


4、SMD器件的引脚与大面积铜箔连接时,要进行热隔离处理,不然过回流焊的时候由于散热快,容易造成虚焊或脱焊。


图16



图17


各个步骤中所需注意的事项

在任何开关电源设计中,PCB板的物理设计都是最后一个环节,如果设计方法不当,PCB可能会辐射过多的电磁干扰,造成电源工作不稳定,以下针对各个步骤中所需注意的事项进行分析。

1. 从原理图到PCB的设计流程

建立元件参数->输入原理网表->设计参数设置->手工布局->手工布线->验证设计->复查->CAM输出。


2. 参数设置

相邻导线间距必须能满足电气安全要求,而且为了便于操作和生产,间距也应尽量宽些。最小间距至少要能适合承受的电压,在布线密度较低时,信号线的间距可适当地加大,对高、低电平悬殊的信号线应尽可能地短且加大间距,一般情况下将走线间距设为8mil。焊盘内孔边缘到印制板边的距离要大于1mm,这样可以避免加工时导致焊盘缺损。当与焊盘连接的走线较细时,要将焊盘与走线之间的连接设计成水滴状,这样的好处是焊盘不容易起皮,而是走线与焊盘不易断开。


3. 元器件布局

实践证明,即使电路原理图设计正确,印制电路板设计不当,也会对电子设备的可靠性产生不利影响。例如,如果印制板两条细平行线靠得很近,则会形成信号波形的延迟,在传输线的终端形成反射噪声;由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,因此,在设计印制电路板的时候,应注意采用正确的方法。每一个开关电源都有四个电流回路:

◆ 电源开关交流回路

◆ 输出整流交流回路

◆ 输入信号源电流回路

◆ 输出负载电流回路输入回路

通过一个近似直流的电流对输入电容充电,滤波电容主要起到一个宽带储能作用;类似地,输出滤波电容也用来储存来自输出整流器的高频能量,同时消除输出负载回路的直流能量。所以,输入和输出滤波电容的接线端十分重要,输入及输出电流回路应分别只从滤波电容的接线端连接到电源;如果在输入/输出回路和电源开关/整流回路之间的连接无法与电容的接线端直接相连,交流能量将由输入或输出滤波电容并辐射到环境中去。

电源开关交流回路和整流器的交流回路包含高幅梯形电流,这些电流中谐波成分很高,其频率远大于开关基频,峰值幅度可高达持续输入/输出直流电流幅度的5倍,过渡时间通常约为50ns。这两个回路最容易产生电磁干扰,因此必须在电源中其它印制线布线之前先布好这些交流回路,每个回路的三种主要的元件滤波电容、电源开关或整流器、电感或变压器应彼此相邻地进行放置,调整元件位置使它们之间的电流路径尽可能短。


建立开关电源布局的最好方法与其电气设计相似,最佳设计流程如下:

1. 放置变压器

2. 设计电源开关电流回路

3. 设计输出整流器电流回路

4. 连接到交流电源电路的控制电路

设计输入电流源回路和输入滤波器 设计输出负载回路和输出滤波器根据电路的功能单元,对电路的全部元器件进行布局时,要符合以下原则:

● 首先要考虑PCB尺寸大小。PCB尺寸过大时,印制线条长,阻抗增加,抗噪声能力下降,成本也增加;过小则散热不好,且邻近线条易受干扰。电路板的最佳形状为矩形,长宽比为3:2或4:3,位于电路板边缘的元器件,离电路板边缘一般不小于2mm

● 放置器件时要考虑以后的焊接,不要太密集

● 以每个功能电路的核心元件为中心,围绕它来进行布局。元器件应均匀、 整齐、紧凑地排列在PCB上,尽量减少和缩短各元器件之间的引线和连接, 去耦电容尽量靠近器件的VCC

● 在高频下工作的电路,要考虑元器件之间的分布参数。一般电路应尽可能使元器件平行排列。这样,不但美观,而且装焊容易,易于批量生产

● 按照电路的流程安排各个功能电路单元的位置,使布局便于信号流通,并使信号尽可能保持一致的方向

● 布局的首要原则是保证布线的布通率,移动器件时注意飞线的连接,把有连线关系的器件放在一起

●尽可能地减小环路面积,以抑制开关电源的辐射干扰


4. 布线

开关电源中包含有高频信号,PCB上任何印制线都可以起到天线的作用,印制线的长度和宽度会影响其阻抗和感抗,从而影响频率响应。即使是通过直流信号的印制线也会从邻近的印制线耦合到射频信号并造成电路问题(甚至再次辐射出干扰信号)。因此应将所有通过交流电流的印制线设计得尽可能短而宽,这意味着必须将所有连接到印制线和连接到其他电源线的元器件放置得很近。

印制线的长度与其表现出的电感量和阻抗成正比,而宽度则与印制线的电感量和阻抗成反比。长度反映出印制线响应的波长,长度越长,印制线能发送和接收电磁波的频率越低,它就能辐射出更多的射频能量。根据印制线路板电流的大小,尽量加租电源线宽度,减少环路电阻。同时、使电源线、地线的走向和电流的方向一致,这样有助于增强抗噪声能力。接地是开关电源四个电流回路的底层支路,作为电路的公共参考点起着很重要的作用,它是控制干扰的重要方法。因此,在布局中应仔细考虑接地线的放置,将各种接地混合会造成电源工作不稳定。


5. 检查

布线设计完成后,需认真检查布线设计是否符合设计者所制定的规则,同时也需确认所制定的规则是否符合印制板生产工艺的需求,一般检查线与线、线与元件焊盘、线与贯通孔、元件焊盘与贯通孔、贯通孔与贯通孔之间的距离是否合理,是否满足生产要求。电源线和地线的宽度是否合适,在PCB中是否还有能让地线加宽的地方。注意:有些错误可以忽略,例如有些接插件的Outline的一部分放在了板框外,检查间距时会出错;另外每次修改过走线和过孔之后,都要重新覆铜一次。

复查根据“PCB检查表”,内容包括设计规则,层定义、线宽、间距、焊盘、过孔设置,还要重点复查器件布局的合理性,电源、地线网络的走线,高速时钟网络的走线与屏蔽,去耦电容的摆放和连接等。


6. 设计输出

输出光绘文件的注意事项:

● 需要输出的层有布线层(底层)、丝印层(包括顶层丝印、底层丝印)、阻焊层(底层阻焊)、钻孔层(底层),另外还要生成钻孔文件(NC Drill)

● 设置丝印层的Layer时,不要选择Part Type,选择顶层(底层)和丝印层的Outline、Text、Line

● 在设置每层的Layer时,将Board Outline选上,设置丝印层的Layer时,不要选择Part Type,选择顶层(底层)和丝印层的Outline、Text、Line

● 生成钻孔文件时,使用PowerPCB的缺省设置,不要作任何改变

当然,以上只是个人总结的一些开关电源PCB设计的经验,还有很多细节上的或其他方面的知识需要注意的,最后我想说的是PCB设计,除了原则要求和经验知识之外,最重要的一点是细心再细心,检查再检查。


]]>
PCB设计是开关电源设计非常重要的一步,对电源的电性能、EMC、可靠性、可生产性都有关联。当前开关电源的功率密度越来越高,对PCB布局、布线的要求也越发严格,合理科学的PCB设计让电源开发事半功倍,以下细节供您参考。


一、布局要求

PCB布局是比较讲究的,不是说随便放上去,挤得下就完事的。一般PCB布局要遵循几点:



图1

3、放置器件时要考虑以后的焊接和维修,两个高度高的元件之间尽量避免放置矮小的元件,如图2所示,这样不利于生产和维护,元件之间最好也不要太密集,但是随着电子技术的发展,现在的开关电源越来越趋于小型化和紧凑化,所以就需要平衡好两者之间的度了,既要方便焊装与维护又要兼顾紧凑。

还有就是要考虑实际的贴片加工能力,按照IPC-A-610E的标准,考虑元件侧面偏移的精度,不然容易造成元件之间连锡,甚至由于元件偏移造成元件距离不够。


图2



图3


6、高频脉冲电流流过的区域要远离输入、输出端子,使噪声源远离输入、输出口,有利于提高EMC性能。


图4


如图4所示,左图变压器离入口太近,电磁的辐射能量直接作用于输入输出端,因此,EMI测试不通过。改为右边的方式后,变压器远离入口,电磁的辐射能量距输入输出端距离加大,效果改善明显,EMI测试通过。

7、发热元件(如变压器,开关管,整流二极管等)的布局要考虑散热的效果,使得整个电源的散热均匀,对温度敏感的关键元器件(如IC)应远离发热元件,发热较大的器件应与电解电容等影响整机寿命的器件有一定的距离。

8、布板时要注意底面元件的高度。例如对于灌封的DC-DC电源模块来说,因为DC-DC模块本身体积就比较小,如果底面元件的高度四边不平衡,灌封的时候会出现两边引脚高度一边高一边低的现象。


图5


9、布局的时候要注意控制引脚的抗静电能力,相应的电路元件之间的距离要足够,例如Ctrl引脚(低电平关断),其电路不像输入、输出端那样具有电容滤波,所以抗静电能力是整个模块最弱的,一定要确保有足够的安全间距。


二、走线原则

1、小信号走线要尽量远离大电流走线,两者不要靠近平行走线,如果无法避免平行的话,也要拉开足够的距离,避免小信号走线受到干扰。


图6


2、关键的小信号走线,如电流取样信号线和光耦反馈的信号线等,尽量减小回路包围的面积。


图7


3、相邻之间不应有过长的平行线(当然同一电流回路平行走线是可以的),上下层走线尽量采用交叉用垂直方式,走线不要突然拐角(即:≤90°),直角、锐角在高频电路中会影响电气性能。


图8



图9


图10


5、高频元件(如变压器、电感)底下第一层不要走线,高频元件正对着的底面也最好不要放置元件,如果无法避免,可以采用屏蔽的方式,例如高频元件在Top层,控制电路正对着在Bottom层,注意要在高频元件所在的第一层敷铜进行屏蔽,如图11所示,这样可以避免高频噪声辐射干扰到底面的控制电路。


图11


6、滤波电容的走线要特别注意,如图12,左图有一部分纹波&噪声会经过走线出去,右图滤波效果会好很多,纹波&噪声经过滤波电容被完全滤掉。


图12



图13


8、发热大的元件(如TO-252封装的MOS管)下可以大面积裸铜,用于散热,这样可以提高元件的可靠性。功率走线铜箔较窄处可以裸铜用于加锡以保证大电流的流通。


三、安规距离与工艺要求

1、电气间隙:两相邻导体或一个导体与相邻导电机壳表面的沿空气测量的最短距离。爬电距离:两相邻导体或一个导体与相邻导电机壳表面的沿着绝缘表面测量的最短距离。



图14


一般电源模块电压与最小爬电距离的关系可参照下表:


2、元件到板边的距离要求。位于电路板边缘的元器件,离电路板边缘一般不少于2mm,对于像10W以下的小型化DC-DC模块,由于元件体积和高度比较小,而且输入输出电压不高,为了满足小型化的要求,也要至少留有0.5mm以上的距离。

大面积铜箔到外框的距离应至少保证0.20mm以上的间距,因在铣外形时容易铣到铜箔上造成铜箔翘起及由其引起焊剂脱落问题。

3、若走线入圆焊盘或过孔的宽度较圆焊盘的直径小时,则需加泪滴,加强吸附力,避免焊盘或过孔脱落。


图15


4、SMD器件的引脚与大面积铜箔连接时,要进行热隔离处理,不然过回流焊的时候由于散热快,容易造成虚焊或脱焊。


图16



图17


各个步骤中所需注意的事项

在任何开关电源设计中,PCB板的物理设计都是最后一个环节,如果设计方法不当,PCB可能会辐射过多的电磁干扰,造成电源工作不稳定,以下针对各个步骤中所需注意的事项进行分析。

1. 从原理图到PCB的设计流程

建立元件参数->输入原理网表->设计参数设置->手工布局->手工布线->验证设计->复查->CAM输出。


2. 参数设置

相邻导线间距必须能满足电气安全要求,而且为了便于操作和生产,间距也应尽量宽些。最小间距至少要能适合承受的电压,在布线密度较低时,信号线的间距可适当地加大,对高、低电平悬殊的信号线应尽可能地短且加大间距,一般情况下将走线间距设为8mil。焊盘内孔边缘到印制板边的距离要大于1mm,这样可以避免加工时导致焊盘缺损。当与焊盘连接的走线较细时,要将焊盘与走线之间的连接设计成水滴状,这样的好处是焊盘不容易起皮,而是走线与焊盘不易断开。


3. 元器件布局

实践证明,即使电路原理图设计正确,印制电路板设计不当,也会对电子设备的可靠性产生不利影响。例如,如果印制板两条细平行线靠得很近,则会形成信号波形的延迟,在传输线的终端形成反射噪声;由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,因此,在设计印制电路板的时候,应注意采用正确的方法。每一个开关电源都有四个电流回路:

◆ 电源开关交流回路

◆ 输出整流交流回路

◆ 输入信号源电流回路

◆ 输出负载电流回路输入回路

通过一个近似直流的电流对输入电容充电,滤波电容主要起到一个宽带储能作用;类似地,输出滤波电容也用来储存来自输出整流器的高频能量,同时消除输出负载回路的直流能量。所以,输入和输出滤波电容的接线端十分重要,输入及输出电流回路应分别只从滤波电容的接线端连接到电源;如果在输入/输出回路和电源开关/整流回路之间的连接无法与电容的接线端直接相连,交流能量将由输入或输出滤波电容并辐射到环境中去。

电源开关交流回路和整流器的交流回路包含高幅梯形电流,这些电流中谐波成分很高,其频率远大于开关基频,峰值幅度可高达持续输入/输出直流电流幅度的5倍,过渡时间通常约为50ns。这两个回路最容易产生电磁干扰,因此必须在电源中其它印制线布线之前先布好这些交流回路,每个回路的三种主要的元件滤波电容、电源开关或整流器、电感或变压器应彼此相邻地进行放置,调整元件位置使它们之间的电流路径尽可能短。


建立开关电源布局的最好方法与其电气设计相似,最佳设计流程如下:

1. 放置变压器

2. 设计电源开关电流回路

3. 设计输出整流器电流回路

4. 连接到交流电源电路的控制电路

设计输入电流源回路和输入滤波器 设计输出负载回路和输出滤波器根据电路的功能单元,对电路的全部元器件进行布局时,要符合以下原则:

● 首先要考虑PCB尺寸大小。PCB尺寸过大时,印制线条长,阻抗增加,抗噪声能力下降,成本也增加;过小则散热不好,且邻近线条易受干扰。电路板的最佳形状为矩形,长宽比为3:2或4:3,位于电路板边缘的元器件,离电路板边缘一般不小于2mm

● 放置器件时要考虑以后的焊接,不要太密集

● 以每个功能电路的核心元件为中心,围绕它来进行布局。元器件应均匀、 整齐、紧凑地排列在PCB上,尽量减少和缩短各元器件之间的引线和连接, 去耦电容尽量靠近器件的VCC

● 在高频下工作的电路,要考虑元器件之间的分布参数。一般电路应尽可能使元器件平行排列。这样,不但美观,而且装焊容易,易于批量生产

● 按照电路的流程安排各个功能电路单元的位置,使布局便于信号流通,并使信号尽可能保持一致的方向

● 布局的首要原则是保证布线的布通率,移动器件时注意飞线的连接,把有连线关系的器件放在一起

●尽可能地减小环路面积,以抑制开关电源的辐射干扰


4. 布线

开关电源中包含有高频信号,PCB上任何印制线都可以起到天线的作用,印制线的长度和宽度会影响其阻抗和感抗,从而影响频率响应。即使是通过直流信号的印制线也会从邻近的印制线耦合到射频信号并造成电路问题(甚至再次辐射出干扰信号)。因此应将所有通过交流电流的印制线设计得尽可能短而宽,这意味着必须将所有连接到印制线和连接到其他电源线的元器件放置得很近。

印制线的长度与其表现出的电感量和阻抗成正比,而宽度则与印制线的电感量和阻抗成反比。长度反映出印制线响应的波长,长度越长,印制线能发送和接收电磁波的频率越低,它就能辐射出更多的射频能量。根据印制线路板电流的大小,尽量加租电源线宽度,减少环路电阻。同时、使电源线、地线的走向和电流的方向一致,这样有助于增强抗噪声能力。接地是开关电源四个电流回路的底层支路,作为电路的公共参考点起着很重要的作用,它是控制干扰的重要方法。因此,在布局中应仔细考虑接地线的放置,将各种接地混合会造成电源工作不稳定。


5. 检查

布线设计完成后,需认真检查布线设计是否符合设计者所制定的规则,同时也需确认所制定的规则是否符合印制板生产工艺的需求,一般检查线与线、线与元件焊盘、线与贯通孔、元件焊盘与贯通孔、贯通孔与贯通孔之间的距离是否合理,是否满足生产要求。电源线和地线的宽度是否合适,在PCB中是否还有能让地线加宽的地方。注意:有些错误可以忽略,例如有些接插件的Outline的一部分放在了板框外,检查间距时会出错;另外每次修改过走线和过孔之后,都要重新覆铜一次。

复查根据“PCB检查表”,内容包括设计规则,层定义、线宽、间距、焊盘、过孔设置,还要重点复查器件布局的合理性,电源、地线网络的走线,高速时钟网络的走线与屏蔽,去耦电容的摆放和连接等。


6. 设计输出

输出光绘文件的注意事项:

● 需要输出的层有布线层(底层)、丝印层(包括顶层丝印、底层丝印)、阻焊层(底层阻焊)、钻孔层(底层),另外还要生成钻孔文件(NC Drill)

● 设置丝印层的Layer时,不要选择Part Type,选择顶层(底层)和丝印层的Outline、Text、Line

● 在设置每层的Layer时,将Board Outline选上,设置丝印层的Layer时,不要选择Part Type,选择顶层(底层)和丝印层的Outline、Text、Line

● 生成钻孔文件时,使用PowerPCB的缺省设置,不要作任何改变

当然,以上只是个人总结的一些开关电源PCB设计的经验,还有很多细节上的或其他方面的知识需要注意的,最后我想说的是PCB设计,除了原则要求和经验知识之外,最重要的一点是细心再细心,检查再检查。


]]>
http://www.amcfsurvey.com/article/202404/457948.htm Tue, 23 Apr 2024 09:29:46 +0800
<![CDATA[ 哪吒 L 上市:号称“充电速度最快的增程车型” ]]> 4 月 22 日消息,哪吒 L 车型今晚上市,号称“充电速度最快的增程车型”,售价 12.99 万元起。随后预计还会推出纯电动版本。

· 220 闪充版:12.99 万元(8 月上市)

· 310 闪充版:13.69 万元

· 310 闪充 PRO 版:14.99 万元

· 310 闪充红衣版:15.99 万元

无标题.jpg

无标题.jpg

无标题.jpg

无标题.jpg

这款新车该车定位中大型 SUV,采用五座布局,基于山海平台打造。哪吒 L 车身尺寸为4770x1900x1660mm,轴距2810mm。该车配备三段式的 LED 日间行车灯组,采用隐藏式门把手设计来降低风阻,提供五款配色(全部免费)。

无标题.jpg


无标题.jpg


无标题.jpg

座舱方面,该车提供慕斯白、美拉德棕两款配色。副驾配备专属 0 重力座椅,一键开启 SPA 模式,4 向腿拖,最大展开角度 60°,8 个按摩气囊,臀角 123°,5 种按摩模式;主驾配备坐垫靠背通风加热功能,支持 10 向电动调节、8 点按摩、头枕扬声器等。全车共配备 16 扬声器,号称让每个座位都能被天空音效环绕。后排配备530mm“同级最长坐垫”,搭载6.6L独立压缩机双开门冰箱,同时拥有583L双层后备箱,拥有1434L扩展空间。

无标题.jpg

智能化方面,该车配备双15.6英寸并联式中控,搭载高通骁龙8155P芯片。该车支持 AEB 自动紧急制动、LCC 车道居中巡航辅助、FAPA 自动融合泊车、50 米循迹倒车、ACC 全速自适应巡航、遥控泊入、遥控泊出、DOW 开门碰撞预警、ALC 拨杆变道等 21 项功能,上市即交付。

无标题.jpg

此外,哪吒 L 车型还搭载乾坤圈AI专属大模型,支持语音秘书、座舱保姆、增程管家、全能保镖、智驾司机五大特性,相关功能将“逐步上线”。

无标题.jpg

动力方面,该车 CLTC 纯电续航310公里,CLTC 综合续航1300公里,同时号称是充电速度最快的增程车型,30%-80% 充电小于19分钟,最高充电倍率2.6C。

]]>
4 月 22 日消息,哪吒 L 车型今晚上市,号称“充电速度最快的增程车型”,售价 12.99 万元起。随后预计还会推出纯电动版本。

· 220 闪充版:12.99 万元(8 月上市)

· 310 闪充版:13.69 万元

· 310 闪充 PRO 版:14.99 万元

· 310 闪充红衣版:15.99 万元

无标题.jpg

无标题.jpg

无标题.jpg

无标题.jpg

这款新车该车定位中大型 SUV,采用五座布局,基于山海平台打造。哪吒 L 车身尺寸为4770x1900x1660mm,轴距2810mm。该车配备三段式的 LED 日间行车灯组,采用隐藏式门把手设计来降低风阻,提供五款配色(全部免费)。

无标题.jpg


无标题.jpg


无标题.jpg

座舱方面,该车提供慕斯白、美拉德棕两款配色。副驾配备专属 0 重力座椅,一键开启 SPA 模式,4 向腿拖,最大展开角度 60°,8 个按摩气囊,臀角 123°,5 种按摩模式;主驾配备坐垫靠背通风加热功能,支持 10 向电动调节、8 点按摩、头枕扬声器等。全车共配备 16 扬声器,号称让每个座位都能被天空音效环绕。后排配备530mm“同级最长坐垫”,搭载6.6L独立压缩机双开门冰箱,同时拥有583L双层后备箱,拥有1434L扩展空间。

无标题.jpg

智能化方面,该车配备双15.6英寸并联式中控,搭载高通骁龙8155P芯片。该车支持 AEB 自动紧急制动、LCC 车道居中巡航辅助、FAPA 自动融合泊车、50 米循迹倒车、ACC 全速自适应巡航、遥控泊入、遥控泊出、DOW 开门碰撞预警、ALC 拨杆变道等 21 项功能,上市即交付。

无标题.jpg

此外,哪吒 L 车型还搭载乾坤圈AI专属大模型,支持语音秘书、座舱保姆、增程管家、全能保镖、智驾司机五大特性,相关功能将“逐步上线”。

无标题.jpg

动力方面,该车 CLTC 纯电续航310公里,CLTC 综合续航1300公里,同时号称是充电速度最快的增程车型,30%-80% 充电小于19分钟,最高充电倍率2.6C。

]]>
http://www.amcfsurvey.com/article/202404/457947.htm Tue, 23 Apr 2024 09:28:55 +0800
<![CDATA[ 年底全国都能开,百度发布纯视觉城市领航辅助驾驶产品 ANP3 Pro ]]> IT之家 4 月 23 日消息,昨日百度 Apollo 在北京车展前夕举办了以“破晓・拥抱智变时刻”为主题的智能汽车产品发布会,围绕汽车智能化,发布了全新升级的“驾舱图”系列产品。

会上,百度 Apollo 正式发布了纯视觉城市领航辅助驾驶产品 ANP3 Pro,官方称将高阶城市智驾的硬件成本拉入万元时代。

IT之家从 Apollo 智能驾驶公众号获悉,与当前市场上大部分搭载激光雷达的高阶城市智驾产品不同,ANP3 Pro 采用演进速度更快、算法上限更高的纯视觉技术路线,搭载 1 颗 NVIDIA DRIVE Orin(254TOPS)、11 个摄像头、3 个毫米波雷达以及 12 个超声波雷达,在实现更低 BOM 成本的同时打造出媲美 Lidar(超长距激光雷达 / 长距激光雷达和补盲激光雷达)且可量产的全场景感知方案。

从功能上看,ANP3 Pro 可覆盖泊车、高速、城市等全域出行场景,实现城市领航辅助、高速领航辅助、自动泊车 / 遥控泊车、代客泊车 AVP、AP / ADAS 等全量功能;同时,ANP3 Pro 可做到“开箱即用”,2024 年上半年将覆盖 360 城,年底可实现全国都能开;此外,ANP3 Pro 还无缝接入 Apollo 智驾云,基于“用户反馈-产品迭代”的数据飞轮助力产品体验以周为单位快速进化,让智驾越用越好用,真正为客户和消费者带来高智驾比、高获得感、常用常新的高阶智驾产品。

百度 Apollo 还发布了“芯片 X 计划”,只要芯片算力满足 100 TOPS (稠密算力),即可体验 ANP3 Pro 领先的高阶智驾能力,灵活支持客户不同车型配置的需求。

官方称,ANP3 Pro 可支持 15-25 万元价格区间的新能源车型搭载,搭载 2 颗 NVIDIA DRIVE Orin(508TOPS)的 Apollo ANP3 MAX 可支持 25 万元以上的新能源车型。这也意味着,ANP3 高阶智驾产品可覆盖当前近六成的市场需求。

官方还表示,ANP3 MAX 也将持续进化,探索 L3 级辅助驾驶、端到端大模型以及无人代客泊车等行业前沿技术,致力于打造行业领先的旗舰级智驾产品。


]]>
IT之家 4 月 23 日消息,昨日百度 Apollo 在北京车展前夕举办了以“破晓・拥抱智变时刻”为主题的智能汽车产品发布会,围绕汽车智能化,发布了全新升级的“驾舱图”系列产品。

会上,百度 Apollo 正式发布了纯视觉城市领航辅助驾驶产品 ANP3 Pro,官方称将高阶城市智驾的硬件成本拉入万元时代。

IT之家从 Apollo 智能驾驶公众号获悉,与当前市场上大部分搭载激光雷达的高阶城市智驾产品不同,ANP3 Pro 采用演进速度更快、算法上限更高的纯视觉技术路线,搭载 1 颗 NVIDIA DRIVE Orin(254TOPS)、11 个摄像头、3 个毫米波雷达以及 12 个超声波雷达,在实现更低 BOM 成本的同时打造出媲美 Lidar(超长距激光雷达 / 长距激光雷达和补盲激光雷达)且可量产的全场景感知方案。

从功能上看,ANP3 Pro 可覆盖泊车、高速、城市等全域出行场景,实现城市领航辅助、高速领航辅助、自动泊车 / 遥控泊车、代客泊车 AVP、AP / ADAS 等全量功能;同时,ANP3 Pro 可做到“开箱即用”,2024 年上半年将覆盖 360 城,年底可实现全国都能开;此外,ANP3 Pro 还无缝接入 Apollo 智驾云,基于“用户反馈-产品迭代”的数据飞轮助力产品体验以周为单位快速进化,让智驾越用越好用,真正为客户和消费者带来高智驾比、高获得感、常用常新的高阶智驾产品。

百度 Apollo 还发布了“芯片 X 计划”,只要芯片算力满足 100 TOPS (稠密算力),即可体验 ANP3 Pro 领先的高阶智驾能力,灵活支持客户不同车型配置的需求。

官方称,ANP3 Pro 可支持 15-25 万元价格区间的新能源车型搭载,搭载 2 颗 NVIDIA DRIVE Orin(508TOPS)的 Apollo ANP3 MAX 可支持 25 万元以上的新能源车型。这也意味着,ANP3 高阶智驾产品可覆盖当前近六成的市场需求。

官方还表示,ANP3 MAX 也将持续进化,探索 L3 级辅助驾驶、端到端大模型以及无人代客泊车等行业前沿技术,致力于打造行业领先的旗舰级智驾产品。


]]>
http://www.amcfsurvey.com/article/202404/457946.htm Tue, 23 Apr 2024 09:27:11 +0800
<![CDATA[ 特斯拉砍掉美国营销团队,马斯克差评:他们策划的广告毫无特色 ]]> 4月23日消息,知情人士透露,美国电动汽车制造商特斯拉在公司裁员过程中解散了新成立的美国营销团队,这标志着公司放弃了首席执行官埃隆·马斯克(Elon Musk)不到一年前批准的传统广告推广策略。

据知情人士透露,特斯拉在当前的裁员行动中,已裁掉了整个美国的“增长内容”(growth content)团队,包括高级经理亚历克斯·英格拉姆(Alex Ingram)带领的约40名员工。据悉,英格拉姆和负责全球团队的豪尔赫·米尔本(Jorge Milburn)也已被解雇。另一位知情人士称,特斯拉在欧洲保留了少数营销人员。

此外,还有消息称,特斯拉位于加州霍桑的设计工作室也遭遇了大规模裁员。

对此,马斯克在社交媒体X上发布了一则回应相关报道的帖子。他对内容团队的工作评价道:“这些广告缺乏特色,适用于任何一种车型。”

无标题.jpg

这次裁员意味着特斯拉终止了刚起步的广告计划。长期以来,特斯拉一直避免利用电视、广播、印刷或在线广告,而是依靠口碑营销建立了强大的品牌影响力。然而,马斯克去年表示,特斯拉将“尝试做些广告,看看效果如何”。大约四个月前,英格拉姆开始组建“增长内容”团队。

随着全球电动汽车销售增长放缓,越来越多的竞争对手进入市场,投资者开始呼吁马斯克更加关注品牌营销。特斯拉接受广告的决定也与马斯克收购原名为Twitter的社交媒体公司时间相符,该社交媒体平台X一直试图防止广告收入大幅下降,主要原因是许多大品牌担心平台的内容管理问题,加之马斯克偶尔发布的具有争议性的帖子。

特斯拉“增长内容”团队所经历的重大变动,凸显了此次公司历史上规模最大的裁员行动带来的广泛影响。马斯克上周表示,此次裁员将涉及全球超过10%的员工。有报道称,马斯克希望裁减的比例达到20%,这可能意味着公司总体要裁员超过2万人。

周一特斯拉股价收于142.05美元,下跌3.4%。今年以来,公司股价已大跌超过40%,成为标准普尔500指数中表现第二差的股票。

]]>
4月23日消息,知情人士透露,美国电动汽车制造商特斯拉在公司裁员过程中解散了新成立的美国营销团队,这标志着公司放弃了首席执行官埃隆·马斯克(Elon Musk)不到一年前批准的传统广告推广策略。

据知情人士透露,特斯拉在当前的裁员行动中,已裁掉了整个美国的“增长内容”(growth content)团队,包括高级经理亚历克斯·英格拉姆(Alex Ingram)带领的约40名员工。据悉,英格拉姆和负责全球团队的豪尔赫·米尔本(Jorge Milburn)也已被解雇。另一位知情人士称,特斯拉在欧洲保留了少数营销人员。

此外,还有消息称,特斯拉位于加州霍桑的设计工作室也遭遇了大规模裁员。

对此,马斯克在社交媒体X上发布了一则回应相关报道的帖子。他对内容团队的工作评价道:“这些广告缺乏特色,适用于任何一种车型。”

无标题.jpg

这次裁员意味着特斯拉终止了刚起步的广告计划。长期以来,特斯拉一直避免利用电视、广播、印刷或在线广告,而是依靠口碑营销建立了强大的品牌影响力。然而,马斯克去年表示,特斯拉将“尝试做些广告,看看效果如何”。大约四个月前,英格拉姆开始组建“增长内容”团队。

随着全球电动汽车销售增长放缓,越来越多的竞争对手进入市场,投资者开始呼吁马斯克更加关注品牌营销。特斯拉接受广告的决定也与马斯克收购原名为Twitter的社交媒体公司时间相符,该社交媒体平台X一直试图防止广告收入大幅下降,主要原因是许多大品牌担心平台的内容管理问题,加之马斯克偶尔发布的具有争议性的帖子。

特斯拉“增长内容”团队所经历的重大变动,凸显了此次公司历史上规模最大的裁员行动带来的广泛影响。马斯克上周表示,此次裁员将涉及全球超过10%的员工。有报道称,马斯克希望裁减的比例达到20%,这可能意味着公司总体要裁员超过2万人。

周一特斯拉股价收于142.05美元,下跌3.4%。今年以来,公司股价已大跌超过40%,成为标准普尔500指数中表现第二差的股票。

]]>
http://www.amcfsurvey.com/article/202404/457945.htm Tue, 23 Apr 2024 09:23:41 +0800
<![CDATA[ 重磅财报周到来,标普纳指终结六连跌,英伟达反弹超4%,降价后特斯拉一度跌近6%,金银跳水 ]]> 美股财报季进入高潮,本周有将近180家上市公司发布财报,这些公司合计市值占标普500的40%,其中包括科技巨头“七姐妹”中微软、谷歌母公司、Meta和特斯拉。而七姐妹将决定整个财报季的业绩成色。智库数据预计,“七姐妹”一季度利润有望飙升38%,若剔除这七家公司,标普500其余成分股的利润料将下降3.9%。

决定未来美股前景的重磅财报周到来,经历上周大跌的美股主要股指周一总体反弹。上周创史上最惨周跌的“七姐妹”大多反弹,上周五创四年最大跌幅的英伟达盘中曾涨超5%,而上周末在中美等全球主要市场下调汽车售价、掀起新一轮价格战的特斯拉进一步下跌,拖累纳指和纳斯达克100指数曾短线转跌。

债市方面,上周五爆炸未影响伊朗核设施、伊朗否认计划打击以色列后,中东风险趋缓,美国国债价格涨势消减。对利率敏感的两年期美债收益率上周五盘中跳水超10个基点,周一曾升破5.0%,刷新上周二美联储主席鲍威尔放鹰当天所创的五个月来高位,后小幅转降。本周四将公布美国一季度GDP及当季美联储青睐通胀指标PCE物价指数,周五将公布3月PCE物价指数,可能提供美国经济和利率前景的新线索。

汇市方面,在上周五英国央行行长称未来三年国内通胀将低于预期、接近央行目标2%后,降息预期回暖,英镑连日刷新五个月来低位。中东风险缓和后,美元指数重演上周五的盘中转跌,未能逼近上周二鲍威尔讲话当天所创的五个多月来高位,日元兑美元刷新上周二所创的1990年来低谷,逼近155.00这一交易员视为可能触发日本政府干预的门槛。一周来几度因以色列和伊朗直接冲突风险而大跌的比特币反弹,上周五完成问世以来第四次减半后,币价一度较日低涨超2000美元、一周来首次接近6.7万美元,远离上周五伊朗遇袭传出后跌穿6万美元大关所创的一个多月来低位。

大宗商品中,中东冲突周末未重新升温,上周五冲高回落的黄金加速下行,不但跌落上周五所创的收盘纪录高位,而且盘中跌至至少一周来低位,现货黄金跌超2%,创将近两年来最大日跌幅,纽约期金跌超3%,收创一年多来最差表现。纽约期银跌超5%,创三年多来最大跌幅。国际原油也在中东冲突引发的供应干扰风险缓和后回落,布油刷新上周五反弹前所创的3月末以来收盘低位。

纳指短线转跌后收涨超1% 道指三连涨 “七姐妹”中仅特斯拉收跌 中概股指跑赢大盘但理想汽车降价后大跌

三大美国股指集体高开,早盘曾涨势消减。纳斯达克综合指数盘初曾涨近1%,后回吐大部分涨幅、曾短线转跌。标普500指数盘初曾涨近0.6%,后曾回吐过半涨幅,午盘和纳指均涨超1%,刷新日高时分别涨逾1.4%和近1.7%。道琼斯工业平均指数盘初涨幅曾略超200点、涨逾0.5%,后涨幅曾收窄到不足20点,午盘涨幅扩大到400点以上,刷新日高时涨逾460点、涨1.2%,后回吐部分涨幅。

最终三大指数集体收涨,标普和纳指终结连跌六个交易日的2022年10月以来最长连跌日,分别走出各自上周五所创的2月13日以来和1月31日以来收盘低位。纳指收涨1.11%,报15451.31点。标普收涨0.87%,报5010.60点。道指收涨253.58点,涨幅0.67%,报38239.98点,连涨三日,刷新4月11日以来收盘高位。

科技股为重的纳斯达克100指数早盘曾小幅转跌近0.2%,收涨1.02%,在连跌三日至1月18日以来低位后反弹,成分股中特斯拉跌幅居首。衡量纳斯达克100指数中科技业成份股表现的纳斯达克科技市值加权指数(NDXTMC)盘初涨1.5%后早盘曾短线转跌,在连跌三日至2月21日以来低位后收涨1.44%。价值股为主的小盘股指罗素2000全天未曾转跌,收涨1.02%,进一步走出上周四连跌五日刷新的2月5日以来低位。

无标题.jpg

三大美股指午盘曾均涨超1%,尾盘涨幅有所收窄,纳指收盘领涨但早盘曾短线转跌

道指成份股中,高盛收涨超3%领涨,个别下跌的成分股中,公布一季度EPS盈利小幅高于预期、但营收略低于预期的通信巨头Verizon(VZ)收跌近4.7%,上周公布绩优财报周大涨14%的医疗健康巨头UnitedHealth(UNH)收跌近2%。

标普500各大板块全线收涨,英伟达等芯片股所在的IT收涨近1.3%领跑,金融涨1.2%,其他板块涨不足1%,UnitedHealth所在的医疗涨不足0.4%,涨幅最小。

包括微软、苹果、英伟达、谷歌母公司Alphabet、亚马逊、Facebook母公司Meta、特斯拉在内,科技巨头“七姐妹”早盘涨跌不一,到收盘仅特斯拉下跌。上周大跌14%的特斯拉在周末降价后盘初曾跌5.6%,早盘尾声时跌不足4%,收跌3.4%,连跌七个交易日,追平最长连跌日纪录,连续三日刷新2023年1月以来收盘低位。

无标题.jpg

特斯拉追平最长连跌日纪录,2018年9月和2022年12月分别连跌七日后的两个月均大幅累涨

FAANMG六大科技股中,上周五回落至4月5日以来低位的Alphabet午盘曾涨超2%,收涨1.4%;上周五连跌五日至2023年4月26日以来收盘低位的苹果午盘涨超1%,收涨0.5%;上周五连跌六日至3月18日以来收盘低位的亚马逊午盘曾涨近2%,收涨近1.5%;上周五回落至2月21日以来收盘低位的Meta早盘转跌后曾跌超1%,午盘转涨后收涨逾0.1%;上周五连跌三日至1月31日以来收盘低位的微软早盘转跌后曾跌超0.8%,收涨近0.5%;上周五公布财报后跌超9%的奈飞早盘跌超1%后抹平大多数跌幅,收跌不足0.1%,连跌四日至2月13日以来收盘低位。

芯片股总体反弹,费城半导体指数和半导体行业ETF SOXX早盘曾短线转跌,分别收涨逾1.7%和近1.7%,走出连跌三日刷新的2月1日以来收盘低位。芯片股中,上周五暴跌10%创2020年3月以来最大跌幅后,英伟达盘初曾涨逾4.5%,开盘不到一小时后涨幅曾收窄到不足0.3%,午盘曾涨近5.1%,收涨近4.4%,告别上周五回落刷新的2月21日以来收盘低位;Arm盘初曾涨超7%,收涨6.8%;台积电美股盘初跌1.5%后早盘尾声时转涨,收涨1.6%;盘初分别涨超2%和1%的美光科技与高通早盘回吐过半涨幅,最终收涨超2%和1%;盘初分别涨超2%和1%的AMD和英特尔早盘曾小幅转跌,分别收涨超1%和0.6%;早盘曾跌超2%的安森美收跌近0.1%。

AI概念股多数反弹。收盘时,BigBear.ai(BBAI)涨超7%,SoundHound.ai(SOUN)涨超6%,C3.ai(AI)涨超4%,Palantir(PLTR)涨超2%,被称为“小英伟达”、出售数据中心互连芯片的Astera Labs(ALAB)涨约2%,周五跌超20%的超微电脑(SMCI)涨近0.5%,Adobe(ADBE)涨0.4%,而甲骨文(ORCL)跌0.3%。

银行股指数连涨四日,跑赢大盘。整体银行业指标KBW银行指数(BKX)收涨2%,刷新4月9日以来高位;地区银行指数KBW Nasdaq Regional Banking Index(KRX)收涨近1.6%,地区银行股ETF SPDR标普地区银行ETF(KRE)收涨1.8%,两日刷新4月9日以来高位。

大银行总体也跑赢大盘,收盘时高盛涨3.3%,花旗涨近3.1%,美国银行涨近2.1%,摩根大通涨1.9%,摩根士丹利涨1.6%,富国银行涨逾1.2%。

热门中概股总体反弹、跑赢大盘。上周五回落至2月13日以来收盘低位的纳斯达克金龙中国指数(HXC)收涨2.3%。中概ETF KWEB和CQQQ分别收涨约4.1%和3.3%。造车新势力表现不一,特斯拉降价后随之全面降价的理想汽车盘初曾跌近8.6%,收跌5.6%,小米粉单收跌近1.5%,而盘初曾跌5%的蔚来汽车收涨5.3%,盘初跌超5%的小鹏汽车收涨0.7%。其他个股中,收盘时,拼多多涨超9%,B站涨超7%,京东涨近6%,腾讯粉单涨超5%,阿里巴巴、百度涨超2%,网易涨超1%。

比特币上周五完成减半后,相关概念股周一普涨,被摩根大通重申超配评级、有信心其仍将是比特币概念股中领头羊后,比特币挖矿公司Riot Platforms(RIOT)收涨23.1%,持有比特币最多的上市公司MicroStrategy(MSTR)收涨12.8%,美国最大加密货币交易所Coinbase(COIN)收涨7%。

波动较大的个股中,否认同云软件巨头Salesforce(CRM)磋商100亿美元出售的协议后,企业数据管理公司Informatica(INFA)收跌10.5%,CRM收涨近1.3%;宣布同医疗健康巨头UnitedHealth旗下OptumRx的药品经销合约将6月自动到期、不会续约后,医疗健康服务公司Cardinal Health(CAH)收跌近5%;上周五传出索尼考虑参与将其收购消息后涨超13%的传媒巨头派拉蒙环球(PARA)回落,盘初曾跌超4%,收跌近0.5%,媒体称,派拉蒙的特别委员会未从索尼听说可能出价收购。

欧股方面,泛欧股指反弹。上周五微幅回落的欧洲斯托克600指数一周来首次收盘涨破500点。主要欧洲国家股指大多上涨,英央行降息预期强化、英镑走软以及能源和医药股走高支持英股涨逾1.6%领涨,连涨四日,刷新去年2月所创的收盘历史高位,周五大致收平的法股和上周五回落的德国和西班牙股指反弹,而连涨三日的意大利股指回落。

各板块中,医疗收涨近1.5%,得益于报道称赛诺菲将支付约1亿美元了结胃灼热药物Zantac 致癌诉讼后,伦敦上市的成分股葛兰素史克涨逾2.5%,力挺英国股指走高;油气板块涨1.2%;连跌四日的科技涨0.1%,而荷兰上市的欧洲最高市值科技股阿斯麦仍收跌近1%,自公布一季度财报以来连跌四日。

无标题.jpg

两年期美债收益率盘中升破5.0%创五个月新高 后转降

美国10年期基准国债收益率在亚市盘中曾升破4.66%刷新日高,日内升近5个基点,开始靠近上周二上测4.70%刷新的2023年11月13日以来高位,后逐步回落,美股早盘转降后曾下破4.61%刷新日低,到债市尾盘时约为4.61%,日内降约1个基点,连降两日,仍远离上周五盘中下破4.50%刷新的4月12日以来低位。

无标题.jpg

各期限美债收益率周一波动较小,总体亚市盘中刷新高位后回落,短债收益率降幅居首

对利率前景更敏感的2年期美债收益率在亚市早盘曾升破5.0%,刷新上周二所创的2023年11月14日以来高位,最近五个交易日盘中均上测或突破5.0%,美股盘前抹平升幅转降,早盘曾下破4.95%刷新日低,到债市尾盘时约为4.97%,日内降约2个基点,在上周五大致收平后回落。

无标题.jpg

5.0%依然是两年期美债收益率的阻力位 美元指数连日盘中转跌 日元创1990年来新低 比特币“减半”后盘中涨超2000美元上逼6.7万

追踪美元兑欧元等六种主要货币一篮子汇价的ICE美元指数(DXY)在亚市早盘曾跌破106.00刷新日低,日内跌近0.2%,欧股早盘转涨后美股早盘曾逼近106.40刷新日高,向上周二涨破106.50而连续两日刷新的2023年11月1日以来高位靠近,日内涨逾0.2%,午盘又转跌。

到周一美股收盘时,美元指数处于106.10上方,日内微跌,连续两日大致收平;追踪美元兑其他十种货币汇率的彭博美元现货指数日内微跌,在两连涨后大致持平上周五水平,未继续靠近周二刷新的2023年11月以来同时段高位。

无标题.jpg

彭博美元现货指数在美股早盘刷新日高后午盘转跌,最终收平

非美货币中,上周五收平的日元跌至1990年来低谷,美元兑日元在美股午盘曾涨破154.80,刷新上周二上测154.80而连续四日所创的1990年来高位,日内涨逾0.1%,美股收盘时处于154.80上方;英镑兑美元在美股盘前曾下测1.2300,连续两个交易日刷新2023年11月以来低位,日内跌近0.6%,美股开盘后逐步抹平大多数跌幅,美股收盘时日内跌近0.2%;欧元兑美元在美股盘初曾跌破1.0630刷新日低,美股午盘曾小幅转轴,美股收盘时处于1.0650上方、日内微跌,未继续靠近上周二鲍威尔讲话后下测1.0600刷新的2023年10月末以来低位。

离岸人民币(CNH)兑美元周一小幅波动,在亚市早盘曾刷新日低至7.2554,后多次转涨,欧股盘前曾刷新日高至7.2481,较日低回涨73点,向上周三涨破7.25刷新的4月10日以来高位靠近,转跌后欧股早盘曾再度收复7.25。北京时间4月23日4点59分,离岸人民币兑美元报7.2507元,较上周五纽约尾盘涨5点,在连跌两日后小幅反弹。

比特币(BTC)在欧股盘前即涨破6.6万美元,自4月15日上周一以来首次重上这一关口,美股午盘曾涨破6.68万美元,继续刷新一周来高位,较日低涨超2000美元、涨超4%,美股收盘时处于6.65万美元上方,最近24小时涨约3%,远离上周五亚市盘中跌穿5.97万美元刷新的2月末以来低位。

无标题.jpg

比特币周一盘中曾涨破6.68万美元上逼6.7万美元,刷新一周来高位 原油回落 布油收创逾三周新低

国际原油期货周一基本处于跌势,欧股盘前刷新日低时,美国WTI原油跌至81.90美元下方,日内跌近1.6%,布伦特原油跌破85.80美元,日内跌逾1.7%,美股早盘刷新日高时,美油接近83.50美元,日内涨逾0.4%,布油接近87.30美元但未转涨,日内跌逾0.3%。

最终,上周五齐涨的原油均回落。WTI 5月原油期货收跌0.29美元,跌幅0.35%,报82.85美元/桶,逼近上周三刷新的3月27日以来收盘低位。布伦特6月原油期货收跌0.29美元,跌幅0.33%,报87.00美元/桶,刷新上周三和周四两日所创的3月27日以来收盘低位。

无标题.jpg

美国WTI原油在美股早盘曾抹平亚市盘中逾1%的跌幅小幅转涨,后重回跌势

美国汽油和天然气期货涨跌各异。NYMEX 5月汽油期货收跌约0.9%,报2.6854美元/加仑,连跌四日,刷新3月27日以来低位;上周五小幅回落的NYMEX 5月天然气期货收涨2.23%,报1.7910美元/百万英热单位,刷新4月10日以来高位。

伦锡跌超3% 伦铜跌落两年高位 黄金跌落收盘纪录高位 期金收跌近3% 期银跌超5%

伦敦基本金属期货周一多数收跌。此前连续三日领涨的伦锡跌超3%领跌,跌落三日连创的2022年6月以来高位,和伦铜、伦铅均止步三连阳,上周五自2022年4月以来首次收盘涨破9800美元的伦铜守住9800美元关口,上周五创去年11月以来新高的伦铅跌超2%。上周五反弹至去年4月以来高位的伦锌也回落。而伦镍涨逾2%,连涨四日,两日刷新去年9月以来高位。伦铝小幅上涨,连涨七日,两日连创2022年6月以来新高。

纽约黄金期货周一全天处于跌势,在收盘后进一步下跌,美股午盘曾跌至2338.2美元,刷新4月10日以来盘中低位,日内跌逾3.1%。

收盘时,COMEX 6月黄金期货收跌2.79%,创2023年2月3日以来主力合约最大日跌幅,报2346.4美元/盎司,刷新4月5日以来收盘低位,和现货黄金均跌落上周五所创的收盘纪录高位

现货黄金周一低开低走,美股午盘跌至2326美元下方,刷新4月15日上周一以来盘中低位,日内跌2.8%,美股收盘时徘徊2330美元一线,日内跌逾2.6%。

上周五反弹至三年高位的纽约期银大幅回落,COMEX 5月白银期货收跌5.54%,报27.245美元/盎司,创4月3日以来收盘新低,并创2021年6月17日以来最大跌幅,盘中刷新日低时日内跌近5.9%。

]]>
美股财报季进入高潮,本周有将近180家上市公司发布财报,这些公司合计市值占标普500的40%,其中包括科技巨头“七姐妹”中微软、谷歌母公司、Meta和特斯拉。而七姐妹将决定整个财报季的业绩成色。智库数据预计,“七姐妹”一季度利润有望飙升38%,若剔除这七家公司,标普500其余成分股的利润料将下降3.9%。

决定未来美股前景的重磅财报周到来,经历上周大跌的美股主要股指周一总体反弹。上周创史上最惨周跌的“七姐妹”大多反弹,上周五创四年最大跌幅的英伟达盘中曾涨超5%,而上周末在中美等全球主要市场下调汽车售价、掀起新一轮价格战的特斯拉进一步下跌,拖累纳指和纳斯达克100指数曾短线转跌。

债市方面,上周五爆炸未影响伊朗核设施、伊朗否认计划打击以色列后,中东风险趋缓,美国国债价格涨势消减。对利率敏感的两年期美债收益率上周五盘中跳水超10个基点,周一曾升破5.0%,刷新上周二美联储主席鲍威尔放鹰当天所创的五个月来高位,后小幅转降。本周四将公布美国一季度GDP及当季美联储青睐通胀指标PCE物价指数,周五将公布3月PCE物价指数,可能提供美国经济和利率前景的新线索。

汇市方面,在上周五英国央行行长称未来三年国内通胀将低于预期、接近央行目标2%后,降息预期回暖,英镑连日刷新五个月来低位。中东风险缓和后,美元指数重演上周五的盘中转跌,未能逼近上周二鲍威尔讲话当天所创的五个多月来高位,日元兑美元刷新上周二所创的1990年来低谷,逼近155.00这一交易员视为可能触发日本政府干预的门槛。一周来几度因以色列和伊朗直接冲突风险而大跌的比特币反弹,上周五完成问世以来第四次减半后,币价一度较日低涨超2000美元、一周来首次接近6.7万美元,远离上周五伊朗遇袭传出后跌穿6万美元大关所创的一个多月来低位。

大宗商品中,中东冲突周末未重新升温,上周五冲高回落的黄金加速下行,不但跌落上周五所创的收盘纪录高位,而且盘中跌至至少一周来低位,现货黄金跌超2%,创将近两年来最大日跌幅,纽约期金跌超3%,收创一年多来最差表现。纽约期银跌超5%,创三年多来最大跌幅。国际原油也在中东冲突引发的供应干扰风险缓和后回落,布油刷新上周五反弹前所创的3月末以来收盘低位。

纳指短线转跌后收涨超1% 道指三连涨 “七姐妹”中仅特斯拉收跌 中概股指跑赢大盘但理想汽车降价后大跌

三大美国股指集体高开,早盘曾涨势消减。纳斯达克综合指数盘初曾涨近1%,后回吐大部分涨幅、曾短线转跌。标普500指数盘初曾涨近0.6%,后曾回吐过半涨幅,午盘和纳指均涨超1%,刷新日高时分别涨逾1.4%和近1.7%。道琼斯工业平均指数盘初涨幅曾略超200点、涨逾0.5%,后涨幅曾收窄到不足20点,午盘涨幅扩大到400点以上,刷新日高时涨逾460点、涨1.2%,后回吐部分涨幅。

最终三大指数集体收涨,标普和纳指终结连跌六个交易日的2022年10月以来最长连跌日,分别走出各自上周五所创的2月13日以来和1月31日以来收盘低位。纳指收涨1.11%,报15451.31点。标普收涨0.87%,报5010.60点。道指收涨253.58点,涨幅0.67%,报38239.98点,连涨三日,刷新4月11日以来收盘高位。

科技股为重的纳斯达克100指数早盘曾小幅转跌近0.2%,收涨1.02%,在连跌三日至1月18日以来低位后反弹,成分股中特斯拉跌幅居首。衡量纳斯达克100指数中科技业成份股表现的纳斯达克科技市值加权指数(NDXTMC)盘初涨1.5%后早盘曾短线转跌,在连跌三日至2月21日以来低位后收涨1.44%。价值股为主的小盘股指罗素2000全天未曾转跌,收涨1.02%,进一步走出上周四连跌五日刷新的2月5日以来低位。

无标题.jpg

三大美股指午盘曾均涨超1%,尾盘涨幅有所收窄,纳指收盘领涨但早盘曾短线转跌

道指成份股中,高盛收涨超3%领涨,个别下跌的成分股中,公布一季度EPS盈利小幅高于预期、但营收略低于预期的通信巨头Verizon(VZ)收跌近4.7%,上周公布绩优财报周大涨14%的医疗健康巨头UnitedHealth(UNH)收跌近2%。

标普500各大板块全线收涨,英伟达等芯片股所在的IT收涨近1.3%领跑,金融涨1.2%,其他板块涨不足1%,UnitedHealth所在的医疗涨不足0.4%,涨幅最小。

包括微软、苹果、英伟达、谷歌母公司Alphabet、亚马逊、Facebook母公司Meta、特斯拉在内,科技巨头“七姐妹”早盘涨跌不一,到收盘仅特斯拉下跌。上周大跌14%的特斯拉在周末降价后盘初曾跌5.6%,早盘尾声时跌不足4%,收跌3.4%,连跌七个交易日,追平最长连跌日纪录,连续三日刷新2023年1月以来收盘低位。

无标题.jpg

特斯拉追平最长连跌日纪录,2018年9月和2022年12月分别连跌七日后的两个月均大幅累涨

FAANMG六大科技股中,上周五回落至4月5日以来低位的Alphabet午盘曾涨超2%,收涨1.4%;上周五连跌五日至2023年4月26日以来收盘低位的苹果午盘涨超1%,收涨0.5%;上周五连跌六日至3月18日以来收盘低位的亚马逊午盘曾涨近2%,收涨近1.5%;上周五回落至2月21日以来收盘低位的Meta早盘转跌后曾跌超1%,午盘转涨后收涨逾0.1%;上周五连跌三日至1月31日以来收盘低位的微软早盘转跌后曾跌超0.8%,收涨近0.5%;上周五公布财报后跌超9%的奈飞早盘跌超1%后抹平大多数跌幅,收跌不足0.1%,连跌四日至2月13日以来收盘低位。

芯片股总体反弹,费城半导体指数和半导体行业ETF SOXX早盘曾短线转跌,分别收涨逾1.7%和近1.7%,走出连跌三日刷新的2月1日以来收盘低位。芯片股中,上周五暴跌10%创2020年3月以来最大跌幅后,英伟达盘初曾涨逾4.5%,开盘不到一小时后涨幅曾收窄到不足0.3%,午盘曾涨近5.1%,收涨近4.4%,告别上周五回落刷新的2月21日以来收盘低位;Arm盘初曾涨超7%,收涨6.8%;台积电美股盘初跌1.5%后早盘尾声时转涨,收涨1.6%;盘初分别涨超2%和1%的美光科技与高通早盘回吐过半涨幅,最终收涨超2%和1%;盘初分别涨超2%和1%的AMD和英特尔早盘曾小幅转跌,分别收涨超1%和0.6%;早盘曾跌超2%的安森美收跌近0.1%。

AI概念股多数反弹。收盘时,BigBear.ai(BBAI)涨超7%,SoundHound.ai(SOUN)涨超6%,C3.ai(AI)涨超4%,Palantir(PLTR)涨超2%,被称为“小英伟达”、出售数据中心互连芯片的Astera Labs(ALAB)涨约2%,周五跌超20%的超微电脑(SMCI)涨近0.5%,Adobe(ADBE)涨0.4%,而甲骨文(ORCL)跌0.3%。

银行股指数连涨四日,跑赢大盘。整体银行业指标KBW银行指数(BKX)收涨2%,刷新4月9日以来高位;地区银行指数KBW Nasdaq Regional Banking Index(KRX)收涨近1.6%,地区银行股ETF SPDR标普地区银行ETF(KRE)收涨1.8%,两日刷新4月9日以来高位。

大银行总体也跑赢大盘,收盘时高盛涨3.3%,花旗涨近3.1%,美国银行涨近2.1%,摩根大通涨1.9%,摩根士丹利涨1.6%,富国银行涨逾1.2%。

热门中概股总体反弹、跑赢大盘。上周五回落至2月13日以来收盘低位的纳斯达克金龙中国指数(HXC)收涨2.3%。中概ETF KWEB和CQQQ分别收涨约4.1%和3.3%。造车新势力表现不一,特斯拉降价后随之全面降价的理想汽车盘初曾跌近8.6%,收跌5.6%,小米粉单收跌近1.5%,而盘初曾跌5%的蔚来汽车收涨5.3%,盘初跌超5%的小鹏汽车收涨0.7%。其他个股中,收盘时,拼多多涨超9%,B站涨超7%,京东涨近6%,腾讯粉单涨超5%,阿里巴巴、百度涨超2%,网易涨超1%。

比特币上周五完成减半后,相关概念股周一普涨,被摩根大通重申超配评级、有信心其仍将是比特币概念股中领头羊后,比特币挖矿公司Riot Platforms(RIOT)收涨23.1%,持有比特币最多的上市公司MicroStrategy(MSTR)收涨12.8%,美国最大加密货币交易所Coinbase(COIN)收涨7%。

波动较大的个股中,否认同云软件巨头Salesforce(CRM)磋商100亿美元出售的协议后,企业数据管理公司Informatica(INFA)收跌10.5%,CRM收涨近1.3%;宣布同医疗健康巨头UnitedHealth旗下OptumRx的药品经销合约将6月自动到期、不会续约后,医疗健康服务公司Cardinal Health(CAH)收跌近5%;上周五传出索尼考虑参与将其收购消息后涨超13%的传媒巨头派拉蒙环球(PARA)回落,盘初曾跌超4%,收跌近0.5%,媒体称,派拉蒙的特别委员会未从索尼听说可能出价收购。

欧股方面,泛欧股指反弹。上周五微幅回落的欧洲斯托克600指数一周来首次收盘涨破500点。主要欧洲国家股指大多上涨,英央行降息预期强化、英镑走软以及能源和医药股走高支持英股涨逾1.6%领涨,连涨四日,刷新去年2月所创的收盘历史高位,周五大致收平的法股和上周五回落的德国和西班牙股指反弹,而连涨三日的意大利股指回落。

各板块中,医疗收涨近1.5%,得益于报道称赛诺菲将支付约1亿美元了结胃灼热药物Zantac 致癌诉讼后,伦敦上市的成分股葛兰素史克涨逾2.5%,力挺英国股指走高;油气板块涨1.2%;连跌四日的科技涨0.1%,而荷兰上市的欧洲最高市值科技股阿斯麦仍收跌近1%,自公布一季度财报以来连跌四日。

无标题.jpg

两年期美债收益率盘中升破5.0%创五个月新高 后转降

美国10年期基准国债收益率在亚市盘中曾升破4.66%刷新日高,日内升近5个基点,开始靠近上周二上测4.70%刷新的2023年11月13日以来高位,后逐步回落,美股早盘转降后曾下破4.61%刷新日低,到债市尾盘时约为4.61%,日内降约1个基点,连降两日,仍远离上周五盘中下破4.50%刷新的4月12日以来低位。

无标题.jpg

各期限美债收益率周一波动较小,总体亚市盘中刷新高位后回落,短债收益率降幅居首

对利率前景更敏感的2年期美债收益率在亚市早盘曾升破5.0%,刷新上周二所创的2023年11月14日以来高位,最近五个交易日盘中均上测或突破5.0%,美股盘前抹平升幅转降,早盘曾下破4.95%刷新日低,到债市尾盘时约为4.97%,日内降约2个基点,在上周五大致收平后回落。

无标题.jpg

5.0%依然是两年期美债收益率的阻力位 美元指数连日盘中转跌 日元创1990年来新低 比特币“减半”后盘中涨超2000美元上逼6.7万

追踪美元兑欧元等六种主要货币一篮子汇价的ICE美元指数(DXY)在亚市早盘曾跌破106.00刷新日低,日内跌近0.2%,欧股早盘转涨后美股早盘曾逼近106.40刷新日高,向上周二涨破106.50而连续两日刷新的2023年11月1日以来高位靠近,日内涨逾0.2%,午盘又转跌。

到周一美股收盘时,美元指数处于106.10上方,日内微跌,连续两日大致收平;追踪美元兑其他十种货币汇率的彭博美元现货指数日内微跌,在两连涨后大致持平上周五水平,未继续靠近周二刷新的2023年11月以来同时段高位。

无标题.jpg

彭博美元现货指数在美股早盘刷新日高后午盘转跌,最终收平

非美货币中,上周五收平的日元跌至1990年来低谷,美元兑日元在美股午盘曾涨破154.80,刷新上周二上测154.80而连续四日所创的1990年来高位,日内涨逾0.1%,美股收盘时处于154.80上方;英镑兑美元在美股盘前曾下测1.2300,连续两个交易日刷新2023年11月以来低位,日内跌近0.6%,美股开盘后逐步抹平大多数跌幅,美股收盘时日内跌近0.2%;欧元兑美元在美股盘初曾跌破1.0630刷新日低,美股午盘曾小幅转轴,美股收盘时处于1.0650上方、日内微跌,未继续靠近上周二鲍威尔讲话后下测1.0600刷新的2023年10月末以来低位。

离岸人民币(CNH)兑美元周一小幅波动,在亚市早盘曾刷新日低至7.2554,后多次转涨,欧股盘前曾刷新日高至7.2481,较日低回涨73点,向上周三涨破7.25刷新的4月10日以来高位靠近,转跌后欧股早盘曾再度收复7.25。北京时间4月23日4点59分,离岸人民币兑美元报7.2507元,较上周五纽约尾盘涨5点,在连跌两日后小幅反弹。

比特币(BTC)在欧股盘前即涨破6.6万美元,自4月15日上周一以来首次重上这一关口,美股午盘曾涨破6.68万美元,继续刷新一周来高位,较日低涨超2000美元、涨超4%,美股收盘时处于6.65万美元上方,最近24小时涨约3%,远离上周五亚市盘中跌穿5.97万美元刷新的2月末以来低位。

无标题.jpg

比特币周一盘中曾涨破6.68万美元上逼6.7万美元,刷新一周来高位 原油回落 布油收创逾三周新低

国际原油期货周一基本处于跌势,欧股盘前刷新日低时,美国WTI原油跌至81.90美元下方,日内跌近1.6%,布伦特原油跌破85.80美元,日内跌逾1.7%,美股早盘刷新日高时,美油接近83.50美元,日内涨逾0.4%,布油接近87.30美元但未转涨,日内跌逾0.3%。

最终,上周五齐涨的原油均回落。WTI 5月原油期货收跌0.29美元,跌幅0.35%,报82.85美元/桶,逼近上周三刷新的3月27日以来收盘低位。布伦特6月原油期货收跌0.29美元,跌幅0.33%,报87.00美元/桶,刷新上周三和周四两日所创的3月27日以来收盘低位。

无标题.jpg

美国WTI原油在美股早盘曾抹平亚市盘中逾1%的跌幅小幅转涨,后重回跌势

美国汽油和天然气期货涨跌各异。NYMEX 5月汽油期货收跌约0.9%,报2.6854美元/加仑,连跌四日,刷新3月27日以来低位;上周五小幅回落的NYMEX 5月天然气期货收涨2.23%,报1.7910美元/百万英热单位,刷新4月10日以来高位。

伦锡跌超3% 伦铜跌落两年高位 黄金跌落收盘纪录高位 期金收跌近3% 期银跌超5%

伦敦基本金属期货周一多数收跌。此前连续三日领涨的伦锡跌超3%领跌,跌落三日连创的2022年6月以来高位,和伦铜、伦铅均止步三连阳,上周五自2022年4月以来首次收盘涨破9800美元的伦铜守住9800美元关口,上周五创去年11月以来新高的伦铅跌超2%。上周五反弹至去年4月以来高位的伦锌也回落。而伦镍涨逾2%,连涨四日,两日刷新去年9月以来高位。伦铝小幅上涨,连涨七日,两日连创2022年6月以来新高。

纽约黄金期货周一全天处于跌势,在收盘后进一步下跌,美股午盘曾跌至2338.2美元,刷新4月10日以来盘中低位,日内跌逾3.1%。

收盘时,COMEX 6月黄金期货收跌2.79%,创2023年2月3日以来主力合约最大日跌幅,报2346.4美元/盎司,刷新4月5日以来收盘低位,和现货黄金均跌落上周五所创的收盘纪录高位

现货黄金周一低开低走,美股午盘跌至2326美元下方,刷新4月15日上周一以来盘中低位,日内跌2.8%,美股收盘时徘徊2330美元一线,日内跌逾2.6%。

上周五反弹至三年高位的纽约期银大幅回落,COMEX 5月白银期货收跌5.54%,报27.245美元/盎司,创4月3日以来收盘新低,并创2021年6月17日以来最大跌幅,盘中刷新日低时日内跌近5.9%。

]]>
http://www.amcfsurvey.com/article/202404/457944.htm Tue, 23 Apr 2024 09:20:35 +0800
<![CDATA[ 理想汽车全系官宣降价:MEGA降价3万元 售价52.98万元 ]]> 4月11日消息,理想汽车官方账号宣布2024款理想L7、理想L8、理想L9、理想MEGA全新价格。L 系列 Pro 降价1.8万,Max 和 Ultra 降价2万,MEGA 降价3万,刚刚发布的 L6不变。

最新售价为,理想L7 Pro售价30.18万元,理想L7 Max售价32.98万元、理想L7 Ultra售价35.98万元;理想L8 Pro售价32.18万元、理想L8 Max售价34.99万元、理想L8 Ultra售价37.98万元;理想L9 Pro售价40.98万元、理想L9 Ultra售价43.98万元、理想MEGA售价52.98万元

多位理想汽车车主反映,早晨就已收到理想汽车的老车主现金回馈通知。


]]>
4月11日消息,理想汽车官方账号宣布2024款理想L7、理想L8、理想L9、理想MEGA全新价格。L 系列 Pro 降价1.8万,Max 和 Ultra 降价2万,MEGA 降价3万,刚刚发布的 L6不变。

最新售价为,理想L7 Pro售价30.18万元,理想L7 Max售价32.98万元、理想L7 Ultra售价35.98万元;理想L8 Pro售价32.18万元、理想L8 Max售价34.99万元、理想L8 Ultra售价37.98万元;理想L9 Pro售价40.98万元、理想L9 Ultra售价43.98万元、理想MEGA售价52.98万元

多位理想汽车车主反映,早晨就已收到理想汽车的老车主现金回馈通知。


]]>
http://www.amcfsurvey.com/article/202404/457942.htm Tue, 23 Apr 2024 09:16:12 +0800
<![CDATA[ Meta宣布开放VR系统与软件,扎克伯格自比安卓,宣布挑战苹果 ]]> 4月23日消息,当地时间周一,Facebook母公司Meta宣布,将与联想、微软及华硕等外部硬件公司合作,共同研发基于Meta Horizon操作系统的虚拟现实(VR)头显。

这一举措将推动新硬件设备的开发,这些设备将采用与Meta目前的虚拟现实硬件(如Quest 3和Quest Pro)相同的操作系统和软件。此次合作无疑将使虚拟现实硬件市场的竞争格局更加明确。数月前,苹果推出了售价高达3500美元的虚拟现实头显Vision Pro。

Meta首席执行官马克·扎克伯格(Mark Zuckerberg)在Instagram视频中分享了这一消息。他表示,这一合作将再现智能手机时代安卓与iOS的竞争格局,只不过这次战场是虚拟现实头显领域。他解释说,苹果的虚拟现实产品无疑将保持其高端定位,价格昂贵且高度集成,但它将面临一系列运行Meta操作系统、价格不同的硬件设备的挑战。

扎克伯格进一步指出:“在每个计算时代,开放与封闭的模式总是共存的。在智能手机领域,苹果的封闭模式最终占据了上风。手机被其严格控制,用户往往被锁定在厂商设定的功能范围内。然而,这并不是唯一的发展路径。”

将操作系统授权给硬件合作伙伴的决策,也符合Meta在虚拟现实技术研发上的长期战略。Meta在其现实实验室(Reality Labs)部门的投入巨大,每季度都斥资数十亿美元。然而,这种投入也带来了不小的经济压力。在2023年第四季度,Meta报告显示,该部门的营业亏损高达46.5亿美元,而销售额仅为10亿美元。

多年来,Meta对苹果应用商店的既定规则一直心存不满。扎克伯格曾表示,该公司正致力于研发虚拟现实和增强现实(AR)的下一代平台,并计划构建一个由Meta控制的应用商店。这样一来,苹果将无权拒绝其应用上架或收取佣金。Meta推出操作系统平台的计划,是公司多年来内部投资的结晶。扎克伯格称:“我们的目标是通过元宇宙、智能眼镜和头显,让开放模式重新主导下一代计算技术。”

扎克伯格还邀请谷歌将其Google Play应用商店引入基于Horizon操作系统的头显中。他透露,Meta还打算允许Steam和微软的Xbox云游戏在其操作系统上运行。值得一提的是,Meta Horizon是在安卓系统的基础上构建的,这一优势使得应用程序能够更便捷地从手机移植到虚拟现实设备上。

扎克伯格强调:“我们的核心理念是,只要他们愿意,我们非常希望用户能在Quest或任何搭载Meta Horizon操作系统的头显上运行各类内容。”

尽管Meta并未详细公开其与硬件合作伙伴的具体商业模式,也未阐述其软件的盈利方式,但可以借鉴谷歌的安卓手机操作系统为例。谷歌向用户提供免费的操作系统,并通过引导用户使用谷歌搜索,在搜索过程中投放广告来实现盈利。在某些情况下,谷歌甚至会向硬件合作伙伴支付费用。

Meta指出,向硬件合作伙伴开放其操作系统,将有助于他们针对不同市场创造特定类型的硬件,例如针对游戏、提升生产力,甚至是制造轻便的设备。扎克伯格设想了一种可能“配有Xbox控制器开箱即用”的设备。他还透露,Meta将受Xbox的“启发”,研发一款全新的Quest头显。然而,这款新设备上市的时间可能还需要“几年时间”。


]]>
4月23日消息,当地时间周一,Facebook母公司Meta宣布,将与联想、微软及华硕等外部硬件公司合作,共同研发基于Meta Horizon操作系统的虚拟现实(VR)头显。

这一举措将推动新硬件设备的开发,这些设备将采用与Meta目前的虚拟现实硬件(如Quest 3和Quest Pro)相同的操作系统和软件。此次合作无疑将使虚拟现实硬件市场的竞争格局更加明确。数月前,苹果推出了售价高达3500美元的虚拟现实头显Vision Pro。

Meta首席执行官马克·扎克伯格(Mark Zuckerberg)在Instagram视频中分享了这一消息。他表示,这一合作将再现智能手机时代安卓与iOS的竞争格局,只不过这次战场是虚拟现实头显领域。他解释说,苹果的虚拟现实产品无疑将保持其高端定位,价格昂贵且高度集成,但它将面临一系列运行Meta操作系统、价格不同的硬件设备的挑战。

扎克伯格进一步指出:“在每个计算时代,开放与封闭的模式总是共存的。在智能手机领域,苹果的封闭模式最终占据了上风。手机被其严格控制,用户往往被锁定在厂商设定的功能范围内。然而,这并不是唯一的发展路径。”

将操作系统授权给硬件合作伙伴的决策,也符合Meta在虚拟现实技术研发上的长期战略。Meta在其现实实验室(Reality Labs)部门的投入巨大,每季度都斥资数十亿美元。然而,这种投入也带来了不小的经济压力。在2023年第四季度,Meta报告显示,该部门的营业亏损高达46.5亿美元,而销售额仅为10亿美元。

多年来,Meta对苹果应用商店的既定规则一直心存不满。扎克伯格曾表示,该公司正致力于研发虚拟现实和增强现实(AR)的下一代平台,并计划构建一个由Meta控制的应用商店。这样一来,苹果将无权拒绝其应用上架或收取佣金。Meta推出操作系统平台的计划,是公司多年来内部投资的结晶。扎克伯格称:“我们的目标是通过元宇宙、智能眼镜和头显,让开放模式重新主导下一代计算技术。”

扎克伯格还邀请谷歌将其Google Play应用商店引入基于Horizon操作系统的头显中。他透露,Meta还打算允许Steam和微软的Xbox云游戏在其操作系统上运行。值得一提的是,Meta Horizon是在安卓系统的基础上构建的,这一优势使得应用程序能够更便捷地从手机移植到虚拟现实设备上。

扎克伯格强调:“我们的核心理念是,只要他们愿意,我们非常希望用户能在Quest或任何搭载Meta Horizon操作系统的头显上运行各类内容。”

尽管Meta并未详细公开其与硬件合作伙伴的具体商业模式,也未阐述其软件的盈利方式,但可以借鉴谷歌的安卓手机操作系统为例。谷歌向用户提供免费的操作系统,并通过引导用户使用谷歌搜索,在搜索过程中投放广告来实现盈利。在某些情况下,谷歌甚至会向硬件合作伙伴支付费用。

Meta指出,向硬件合作伙伴开放其操作系统,将有助于他们针对不同市场创造特定类型的硬件,例如针对游戏、提升生产力,甚至是制造轻便的设备。扎克伯格设想了一种可能“配有Xbox控制器开箱即用”的设备。他还透露,Meta将受Xbox的“启发”,研发一款全新的Quest头显。然而,这款新设备上市的时间可能还需要“几年时间”。


]]>
http://www.amcfsurvey.com/article/202404/457941.htm Tue, 23 Apr 2024 09:14:17 +0800
<![CDATA[ 埃万特推出用于电动汽车高压连接器的尼龙系列稳定持久橙色解决方案 ]]> 作为专业且可持续的材料解决方案和服务供应商,埃万特公司宣布推出OnColor™尼龙系列稳定持久橙色解决方案,该系列产品旨在提高用于电动汽车(EV)高压连接器的警示性橙色聚合物的橙色色彩稳定性。埃万特将在明日开幕的2024年中国国际橡塑展的展台上重点展示这些解决方案。

经高达130°C、持续1000小时的热老化测试后,与同类橙色解决方案相比,使用OnColor尼龙系列稳定持久橙色配方的产品展现出更优异的长期抗褪色性,同时还满足了客户对色粉无迁移和低挥发性的要求。此外,在85℃和85%相对湿度下,暴露于高湿度环境中七天的样品颜色变化很小,这表明这些着色剂可用于高湿度环境。在PA66-GF/FR体系中,未使用和使用OnColor尼龙系列稳定持久橙色方案的比较测试结果表明,该配方对阻燃性没有影响,对基本机械特性(如弯曲强度和冲击强度)的负面影响也极其有限。

1713793270407984.png

埃万特推出用于高压电动汽车(EV)连接器的尼龙系列稳定持久橙色解决方案

埃万特颜色和添加剂事业部大中华区总经理李顺波表示:“警示性橙色被广泛用于预警潜在的安全隐患,也是汽车制造商将电动汽车中的高压电源连接器和电缆与其他部件区分开来的首选色。埃万特很荣幸能提供一系列醒目、标准化色调的新型橙色母粒,这些新型橙色母粒经过特别设计,即使长期暴露在高温下,也能防止褪色,较大程度地保持原始色彩。”

埃万特可提供经过验证的符合RAL、Pantone和JPMA等多种色卡的橙色方案,适用于造粒、注塑和挤出等工艺,具有出色的加工性,可较大程度地保持原始色彩,同时对阻燃性、电气性能或机械性能无明显影响,满足了电动汽车市场快速增长的需求。此外,埃万特还可根据客户要求提供其他功能,如激光打标和为其它电动汽车应用定制着色剂。

2024年中国国际橡塑展将于4月23日至26日在上海虹桥国家会展中心举行,届时埃万特将在8.2号馆8.2F31展台展示旗下多种塑料行业的先进技术,其中便包括这款用于电动汽车(EV)高压连接器的新型OnColor尼龙系列稳定持久橙色解决方案。

关于埃万特

埃万特集团致力于提供专业并可持续的材料解决方案,化客户挑战为机遇,不断推陈出新,让世界变得更美好。示例包括:

●   Dyneema®, the world’s strongest fiber™, 可为终端产品提供优异的性能和防护,应用包括个人防弹保护、海事和可持续基础设施以及户外运动产品

●   独特的技术可提升产品的可回收性,并使用回收材料,从而促进循环经济

●   轻量化解决方案可替代传统的金属、玻璃及木材等较重材料,提高所有运输方式的燃油效率并减少碳足迹

●   可持续的基础设施解决方案可提高能源效率,可再生能源,自然资源保护和光纤/5G网络的可访问性

●   埃万特被授予ACC责任关怀®企业称号,此外,埃万特是清除塑料废弃物行动联盟的创始成员之一,并荣获最佳职场®称号。 

]]>
作为专业且可持续的材料解决方案和服务供应商,埃万特公司宣布推出OnColor™尼龙系列稳定持久橙色解决方案,该系列产品旨在提高用于电动汽车(EV)高压连接器的警示性橙色聚合物的橙色色彩稳定性。埃万特将在明日开幕的2024年中国国际橡塑展的展台上重点展示这些解决方案。

经高达130°C、持续1000小时的热老化测试后,与同类橙色解决方案相比,使用OnColor尼龙系列稳定持久橙色配方的产品展现出更优异的长期抗褪色性,同时还满足了客户对色粉无迁移和低挥发性的要求。此外,在85℃和85%相对湿度下,暴露于高湿度环境中七天的样品颜色变化很小,这表明这些着色剂可用于高湿度环境。在PA66-GF/FR体系中,未使用和使用OnColor尼龙系列稳定持久橙色方案的比较测试结果表明,该配方对阻燃性没有影响,对基本机械特性(如弯曲强度和冲击强度)的负面影响也极其有限。

1713793270407984.png

埃万特推出用于高压电动汽车(EV)连接器的尼龙系列稳定持久橙色解决方案

埃万特颜色和添加剂事业部大中华区总经理李顺波表示:“警示性橙色被广泛用于预警潜在的安全隐患,也是汽车制造商将电动汽车中的高压电源连接器和电缆与其他部件区分开来的首选色。埃万特很荣幸能提供一系列醒目、标准化色调的新型橙色母粒,这些新型橙色母粒经过特别设计,即使长期暴露在高温下,也能防止褪色,较大程度地保持原始色彩。”

埃万特可提供经过验证的符合RAL、Pantone和JPMA等多种色卡的橙色方案,适用于造粒、注塑和挤出等工艺,具有出色的加工性,可较大程度地保持原始色彩,同时对阻燃性、电气性能或机械性能无明显影响,满足了电动汽车市场快速增长的需求。此外,埃万特还可根据客户要求提供其他功能,如激光打标和为其它电动汽车应用定制着色剂。

2024年中国国际橡塑展将于4月23日至26日在上海虹桥国家会展中心举行,届时埃万特将在8.2号馆8.2F31展台展示旗下多种塑料行业的先进技术,其中便包括这款用于电动汽车(EV)高压连接器的新型OnColor尼龙系列稳定持久橙色解决方案。

关于埃万特

埃万特集团致力于提供专业并可持续的材料解决方案,化客户挑战为机遇,不断推陈出新,让世界变得更美好。示例包括:

●   Dyneema®, the world’s strongest fiber™, 可为终端产品提供优异的性能和防护,应用包括个人防弹保护、海事和可持续基础设施以及户外运动产品

●   独特的技术可提升产品的可回收性,并使用回收材料,从而促进循环经济

●   轻量化解决方案可替代传统的金属、玻璃及木材等较重材料,提高所有运输方式的燃油效率并减少碳足迹

●   可持续的基础设施解决方案可提高能源效率,可再生能源,自然资源保护和光纤/5G网络的可访问性

●   埃万特被授予ACC责任关怀®企业称号,此外,埃万特是清除塑料废弃物行动联盟的创始成员之一,并荣获最佳职场®称号。 

]]>
http://www.amcfsurvey.com/article/202404/457938.htm Mon, 22 Apr 2024 21:40:14 +0800
<![CDATA[ 美光全系列车规级解决方案已通过高通汽车平台验证, 助力AI智能汽车 ]]> Micron Technology Inc.(美光科技股份有限公司)近日宣布,美光全系列车规级内存和存储解决方案已通过高通技术公司 Snapdragon® Digital Chassis™ 平台的验证,助力该综合性云连接平台为数据密集型智能汽车服务提供支持。美光低功耗 LPDDR5X 内存、通用闪存 UFS 3.1、Xccela™ 闪存和四线串行外设接口 NOR闪存已预先集成至包括Snapdragon® Cockpit 平台、Snapdragon Ride™ 平台和 Snapdragon Ride™ Flex 系统级芯片(SoC)在内的新一代骁龙汽车解决方案和模块中,致力于满足当前和未来日益增长的 AI 工作负载需求。美光与高通的此次合作将助力汽车生态系统打造由先进 AI 技术驱动的新一代智能汽车。 

美光嵌入式产品事业部市场副总裁Chris Jacobs表示:“当前的软件定义汽车和沉浸式座舱需要高可靠性和超低延迟的内存和存储,从而可在边缘处理大量数据,并为时间关键型任务提供近乎实时的决策。美光广泛的汽车内存和存储解决方案组合提供了车辆在行驶中所需的即时性能。我们很高兴与高通合作,助力汽车生态系统加速普及前沿解决方案,打造更安全、更智能的汽车。” 

美光提供广泛的车规级解决方案组合,具备高可靠性、快速启动、高带宽、低功耗和小尺寸等特性。与汽车市场上较为常见的消费级解决方案不同,美光的车规级产品经久耐用,通过制程技术和产品开发中的特殊优化,可满足汽车行业的严苛标准。这些车用产品均经过严格测试,可提供汽车市场所需的极致稳定性、质量、耐热性、可靠性和耐用性。 

美光的解决方案已通过 Snapdragon Digital Chassis 多款解决方案认证,支持一系列 AI 相关功能:

●   Snapdragon Cockpit 平台专为软件密集型、个性化的数字座舱而设计,支持沉浸式音频、智能驾驶辅助和丰富的多屏视觉体验。Snapdragon Cockpit 平台配备了高性能计算和机器学习加速器,支持各种多模态生成式 AI 功能,助力数字座舱的开发能够随着驾驶员的需求和技术的进步而进行快速调整和发展。

●   Snapdragon Ride平台支持高级驾驶辅助系统(ADAS),并支持一系列功能,从基础的泊车辅助到更高级别的自动驾驶,以及计算机视觉、复杂 AI 网络、摄像头可视化、传感器融合、激光雷达感知、自动驾驶地图和超声波传感器处理等技术。

●   Snapdragon Ride™ Flex SoC在单颗芯片上集成了数字座舱、ADAS 和自动驾驶等功能,可通过中央计算处理各种关键型工作负载。这种集中式设计有助于汽车制造商实现统一的软件定义汽车架构,为汽车系统中的多模态 AI 网络奠定基础。

美光的汽车解决方案将为高通的汽车客户和更广泛的汽车生态系统带来显著的优势:

●   美光车用 LPDDR5X是一款旗舰内存解决方案,适用于需要高速率和低功耗的应用。LPDDR5X 凭借能效和性能的提升,为智能汽车中需要更高带宽和能耗需求的应用提供了强大支持,例如基于 AI 的自动驾驶功能。值得一提的是,美光 LPDDR5X 向后兼容速率为 6.4 Gb/s 的 LPDDR5,该产品此前已通过高通验证。由此,高通新一代骁龙汽车 SoC 成为首个支持 LPDDR5 的 SoC 产品系列。

●   美光车用UFS 3.1存储的读取性能提升一倍,持续写入性能提升 50%,[1]可实现更快的设备启动、空中下载( OTA)更新、更迅速的应用响应,以及更流畅的整体用户体验。速度的飞跃满足了消费者驾驶途中对低延迟的需求,特别是在获取数字座舱中的导航信息等关键数据时。

●   美光 Xccela™ 闪存是业界领先的高性能 NOR 产品,与其他解决方案相比,性能提升至5倍,功效提升至3倍,[2]可助力汽车应用实现即时启动和快速的系统响应。

●   四线 SPI-NOR 闪存可为启动代码和程序代码等应用提供快速代码执行能力和高可靠性。由于代码故障可能会导致汽车“变成砖头”或无法正常运行,因此在一些关键场景中,例如驾驶员启动引擎后需立即可靠地开启数字座舱和操作系统时,四线 SPI-NOR 闪存变得尤为关键。

如上所述的美光易失性和非易失性解决方案已成功应用于高通新一代 Snapdragon Cockpit 平台。美光与高通建立了跨越多代平台的长期合作关系,为汽车带来沉浸式的车内体验。通过验证后,美光与高通将携手助力汽车生态系统打造由先进 AI 技术驱动的新一代智能汽车。

美光深耕汽车市场近 35 年,其汽车解决方案已被多家领先的汽车制造商采用,累计行驶里程达数万亿公里[3]。美光在与汽车行业客户的紧密合作中积累了深厚的专业知识,并不断推动内存设计架构的创新发展,以支持各种先进的汽车技术。

美光在德国举行的国际嵌入式展览会上宣布推出美光 4150AT SSD。该款车规级 SSD 支持业界首创的可定制化四端口和虚拟化技术,为智能汽车开创了集中式架构新典范。这些新产品进一步巩固了美光在汽车领域的领先地位,并为汽车生态系统注入了更多动力,引领汽车迈向边缘智能新时代。

]]>
Micron Technology Inc.(美光科技股份有限公司)近日宣布,美光全系列车规级内存和存储解决方案已通过高通技术公司 Snapdragon® Digital Chassis™ 平台的验证,助力该综合性云连接平台为数据密集型智能汽车服务提供支持。美光低功耗 LPDDR5X 内存、通用闪存 UFS 3.1、Xccela™ 闪存和四线串行外设接口 NOR闪存已预先集成至包括Snapdragon® Cockpit 平台、Snapdragon Ride™ 平台和 Snapdragon Ride™ Flex 系统级芯片(SoC)在内的新一代骁龙汽车解决方案和模块中,致力于满足当前和未来日益增长的 AI 工作负载需求。美光与高通的此次合作将助力汽车生态系统打造由先进 AI 技术驱动的新一代智能汽车。 

美光嵌入式产品事业部市场副总裁Chris Jacobs表示:“当前的软件定义汽车和沉浸式座舱需要高可靠性和超低延迟的内存和存储,从而可在边缘处理大量数据,并为时间关键型任务提供近乎实时的决策。美光广泛的汽车内存和存储解决方案组合提供了车辆在行驶中所需的即时性能。我们很高兴与高通合作,助力汽车生态系统加速普及前沿解决方案,打造更安全、更智能的汽车。” 

美光提供广泛的车规级解决方案组合,具备高可靠性、快速启动、高带宽、低功耗和小尺寸等特性。与汽车市场上较为常见的消费级解决方案不同,美光的车规级产品经久耐用,通过制程技术和产品开发中的特殊优化,可满足汽车行业的严苛标准。这些车用产品均经过严格测试,可提供汽车市场所需的极致稳定性、质量、耐热性、可靠性和耐用性。 

美光的解决方案已通过 Snapdragon Digital Chassis 多款解决方案认证,支持一系列 AI 相关功能:

●   Snapdragon Cockpit 平台专为软件密集型、个性化的数字座舱而设计,支持沉浸式音频、智能驾驶辅助和丰富的多屏视觉体验。Snapdragon Cockpit 平台配备了高性能计算和机器学习加速器,支持各种多模态生成式 AI 功能,助力数字座舱的开发能够随着驾驶员的需求和技术的进步而进行快速调整和发展。

●   Snapdragon Ride平台支持高级驾驶辅助系统(ADAS),并支持一系列功能,从基础的泊车辅助到更高级别的自动驾驶,以及计算机视觉、复杂 AI 网络、摄像头可视化、传感器融合、激光雷达感知、自动驾驶地图和超声波传感器处理等技术。

●   Snapdragon Ride™ Flex SoC在单颗芯片上集成了数字座舱、ADAS 和自动驾驶等功能,可通过中央计算处理各种关键型工作负载。这种集中式设计有助于汽车制造商实现统一的软件定义汽车架构,为汽车系统中的多模态 AI 网络奠定基础。

美光的汽车解决方案将为高通的汽车客户和更广泛的汽车生态系统带来显著的优势:

●   美光车用 LPDDR5X是一款旗舰内存解决方案,适用于需要高速率和低功耗的应用。LPDDR5X 凭借能效和性能的提升,为智能汽车中需要更高带宽和能耗需求的应用提供了强大支持,例如基于 AI 的自动驾驶功能。值得一提的是,美光 LPDDR5X 向后兼容速率为 6.4 Gb/s 的 LPDDR5,该产品此前已通过高通验证。由此,高通新一代骁龙汽车 SoC 成为首个支持 LPDDR5 的 SoC 产品系列。

●   美光车用UFS 3.1存储的读取性能提升一倍,持续写入性能提升 50%,[1]可实现更快的设备启动、空中下载( OTA)更新、更迅速的应用响应,以及更流畅的整体用户体验。速度的飞跃满足了消费者驾驶途中对低延迟的需求,特别是在获取数字座舱中的导航信息等关键数据时。

●   美光 Xccela™ 闪存是业界领先的高性能 NOR 产品,与其他解决方案相比,性能提升至5倍,功效提升至3倍,[2]可助力汽车应用实现即时启动和快速的系统响应。

●   四线 SPI-NOR 闪存可为启动代码和程序代码等应用提供快速代码执行能力和高可靠性。由于代码故障可能会导致汽车“变成砖头”或无法正常运行,因此在一些关键场景中,例如驾驶员启动引擎后需立即可靠地开启数字座舱和操作系统时,四线 SPI-NOR 闪存变得尤为关键。

如上所述的美光易失性和非易失性解决方案已成功应用于高通新一代 Snapdragon Cockpit 平台。美光与高通建立了跨越多代平台的长期合作关系,为汽车带来沉浸式的车内体验。通过验证后,美光与高通将携手助力汽车生态系统打造由先进 AI 技术驱动的新一代智能汽车。

美光深耕汽车市场近 35 年,其汽车解决方案已被多家领先的汽车制造商采用,累计行驶里程达数万亿公里[3]。美光在与汽车行业客户的紧密合作中积累了深厚的专业知识,并不断推动内存设计架构的创新发展,以支持各种先进的汽车技术。

美光在德国举行的国际嵌入式展览会上宣布推出美光 4150AT SSD。该款车规级 SSD 支持业界首创的可定制化四端口和虚拟化技术,为智能汽车开创了集中式架构新典范。这些新产品进一步巩固了美光在汽车领域的领先地位,并为汽车生态系统注入了更多动力,引领汽车迈向边缘智能新时代。

]]>
http://www.amcfsurvey.com/article/202404/457937.htm Mon, 22 Apr 2024 21:33:48 +0800
<![CDATA[ 罗姆集团旗下的SiCrystal与意法半导体扩大SiC晶圆供应合同 ]]> 全球知名半导体制造商ROHM Co., Ltd.(以下简称“罗姆”)和为各种电子设备提供半导体的全球著名半导体制造商意法半导体(以下简称“ST”)宣布,罗姆集团旗下的SiCrystal GmbH(以下简称“SiCrystal”)将扩大目前已持续多年的150mm SiC晶圆长期供应合同。

扩大后的合同约定未来数年向意法半导体供应在德国纽伦堡生产的SiC晶圆,预计合同期间的交易额将超过2.3亿美元。

ST执行副总裁兼首席采购官 Geoff West表示:“通过扩大与SiCrystal的SiC晶圆长期供应合同,我们得以确保150mm SiC晶圆的新增需求量。这将有助于扩大相应产品的产能,确保向全球汽车和工业设备领域客户供货。另外,很好地保持各地区的内部产能和外部产能的平衡,将有助于提升供应链的弹性,促进未来的长效发展。 ”

罗姆集团SiCrystal总裁兼CEO Robert Eckstein(博士)表示:“SiCrystal是SiC的领军企业罗姆集团旗下的公司,具有多年的SiC晶圆生产经验。我们很高兴能够与我们的老客户ST扩大了这项供应合同。未来,我们将通过继续增加150mm SiC晶圆的供应量并始终提供高可靠性的产品,来支持我们的合作伙伴扩大SiC业务。”

SiC功率半导体以其出色的能效著称,能够以更可持续的方式促进汽车和工业设备的电子化发展。通过促进高效的能源发电、分配和存储,在向更清洁的出行解决方案和废物排放更少的工业工艺转型过程中,SiC可提供强有力的支持。同样,还有助于为AI应用的数据中心等资源密集型基础设施提供更可靠的电力供应。

]]>
全球知名半导体制造商ROHM Co., Ltd.(以下简称“罗姆”)和为各种电子设备提供半导体的全球著名半导体制造商意法半导体(以下简称“ST”)宣布,罗姆集团旗下的SiCrystal GmbH(以下简称“SiCrystal”)将扩大目前已持续多年的150mm SiC晶圆长期供应合同。

扩大后的合同约定未来数年向意法半导体供应在德国纽伦堡生产的SiC晶圆,预计合同期间的交易额将超过2.3亿美元。

ST执行副总裁兼首席采购官 Geoff West表示:“通过扩大与SiCrystal的SiC晶圆长期供应合同,我们得以确保150mm SiC晶圆的新增需求量。这将有助于扩大相应产品的产能,确保向全球汽车和工业设备领域客户供货。另外,很好地保持各地区的内部产能和外部产能的平衡,将有助于提升供应链的弹性,促进未来的长效发展。 ”

罗姆集团SiCrystal总裁兼CEO Robert Eckstein(博士)表示:“SiCrystal是SiC的领军企业罗姆集团旗下的公司,具有多年的SiC晶圆生产经验。我们很高兴能够与我们的老客户ST扩大了这项供应合同。未来,我们将通过继续增加150mm SiC晶圆的供应量并始终提供高可靠性的产品,来支持我们的合作伙伴扩大SiC业务。”

SiC功率半导体以其出色的能效著称,能够以更可持续的方式促进汽车和工业设备的电子化发展。通过促进高效的能源发电、分配和存储,在向更清洁的出行解决方案和废物排放更少的工业工艺转型过程中,SiC可提供强有力的支持。同样,还有助于为AI应用的数据中心等资源密集型基础设施提供更可靠的电力供应。

]]>
http://www.amcfsurvey.com/article/202404/457936.htm Mon, 22 Apr 2024 21:29:51 +0800
<![CDATA[ BOE(京东方)LC智能光幕赋能极氪009光辉 ]]> 2024年4月19日,极氪009光辉正式上市。作为极氪推出的首款四座超豪华旗舰MPV,其除了搭载BOE(京东方)8英寸后排控制屏总成外,还成为全球首个量产搭载BOE(京东方)LC智能光幕的新能源汽车。作为该车的最大亮点之一,其以秒级响应、自然灰黑色、隐私防眩、隔热防护和安全低电压等技术优势,进一步加持极氪009光辉的超豪华用户体验。依托领先的传感技术,BOE(京东方)LC智能光幕深度赋能智慧座舱领域,开创新能源汽车智慧化升级新的里程碑。

LC智能光幕采用BOE(京东方)染料液晶调光技术,此次发布的四座超豪华旗舰极氪009光辉,后座侧窗及后角窗均被BOE(京东方)LC智能光幕所包裹,让占车身表面积近40%的玻璃可根据用户使用需求进行光线调节,可实现10档明暗调节,从最亮调到最暗只需1.5 秒,可支持-20℃到85℃的宽温调节,温度越高,调节速度越快,在同行业调光技术内领先。相较其他调光技术的白、蓝等颜色,BOE(京东方)LC智能光幕呈现出自然灰黑色,不但与车载灰色玻璃保持一致,而且最暗时可呈现纯黑色,同时解决了传统调光技术中心扩散的问题,拥有更高均一性;在隐私防眩方面,BOE(京东方)LC智能光幕具有单向透过性优势,可最大程度保护车内隐私,通过对不同灰阶的精准调节可避免强光直射或建筑反光对乘客眼睛的伤害,防止眩光。

在隔热防护方面,BOE(京东方)LC智能光幕可隔绝99.9%紫外线,最暗状态下可隔绝99.5%的可见光,对太阳光的遮蔽率达90%。相较于其他调光技术的110V电压,BOE(京东方)LC智能光幕采用12V安全低电压,不仅功耗更低也更加安全。

LC智能光幕是BOE(京东方)传感业务重点孵化的创新项目。目前,BOE(京东方)在智慧视窗领域已拥有超过150项专利布局,2023年由BOE(京东方)牵头制定并发布了智慧视窗染料液晶调光玻璃的国家标准和车载团体标准,为智慧视窗在建筑幕墙、智能汽车、轨道列车等领域的应用普及提供技术标准。

本次BOE(京东方)LC智能光幕赋能极氪009光辉是BOE(京东方)传感业务在车载调光领域的里程碑,后续有望成为众多车载调光窗的终极应用方案。未来,BOE(京东方)将不断提升光幕技术性能,持续推进智能汽车领域的产品技术创新,积极探索光幕更多应用场景,以“Powered by BOE”理念加速赋能汽车合作伙伴,为用户打造更沉浸式的体验,携手共创智慧出行新生态。

]]>
2024年4月19日,极氪009光辉正式上市。作为极氪推出的首款四座超豪华旗舰MPV,其除了搭载BOE(京东方)8英寸后排控制屏总成外,还成为全球首个量产搭载BOE(京东方)LC智能光幕的新能源汽车。作为该车的最大亮点之一,其以秒级响应、自然灰黑色、隐私防眩、隔热防护和安全低电压等技术优势,进一步加持极氪009光辉的超豪华用户体验。依托领先的传感技术,BOE(京东方)LC智能光幕深度赋能智慧座舱领域,开创新能源汽车智慧化升级新的里程碑。

LC智能光幕采用BOE(京东方)染料液晶调光技术,此次发布的四座超豪华旗舰极氪009光辉,后座侧窗及后角窗均被BOE(京东方)LC智能光幕所包裹,让占车身表面积近40%的玻璃可根据用户使用需求进行光线调节,可实现10档明暗调节,从最亮调到最暗只需1.5 秒,可支持-20℃到85℃的宽温调节,温度越高,调节速度越快,在同行业调光技术内领先。相较其他调光技术的白、蓝等颜色,BOE(京东方)LC智能光幕呈现出自然灰黑色,不但与车载灰色玻璃保持一致,而且最暗时可呈现纯黑色,同时解决了传统调光技术中心扩散的问题,拥有更高均一性;在隐私防眩方面,BOE(京东方)LC智能光幕具有单向透过性优势,可最大程度保护车内隐私,通过对不同灰阶的精准调节可避免强光直射或建筑反光对乘客眼睛的伤害,防止眩光。

在隔热防护方面,BOE(京东方)LC智能光幕可隔绝99.9%紫外线,最暗状态下可隔绝99.5%的可见光,对太阳光的遮蔽率达90%。相较于其他调光技术的110V电压,BOE(京东方)LC智能光幕采用12V安全低电压,不仅功耗更低也更加安全。

LC智能光幕是BOE(京东方)传感业务重点孵化的创新项目。目前,BOE(京东方)在智慧视窗领域已拥有超过150项专利布局,2023年由BOE(京东方)牵头制定并发布了智慧视窗染料液晶调光玻璃的国家标准和车载团体标准,为智慧视窗在建筑幕墙、智能汽车、轨道列车等领域的应用普及提供技术标准。

本次BOE(京东方)LC智能光幕赋能极氪009光辉是BOE(京东方)传感业务在车载调光领域的里程碑,后续有望成为众多车载调光窗的终极应用方案。未来,BOE(京东方)将不断提升光幕技术性能,持续推进智能汽车领域的产品技术创新,积极探索光幕更多应用场景,以“Powered by BOE”理念加速赋能汽车合作伙伴,为用户打造更沉浸式的体验,携手共创智慧出行新生态。

]]>
http://www.amcfsurvey.com/article/202404/457935.htm Mon, 22 Apr 2024 21:25:49 +0800
<![CDATA[ RISC-V如何推动边缘机器学习的发展 ]]>

1713792043620345.png

图源:ipopba/Stock.adobe.com

当你入了机器学习(ML)领域的门之后,很快就会发现,云端的数据存储和处理成本竟然如此之高。为此,许多企业都上了内部部署基础设施的车,试图通过这些设施来承载其ML工作负载,从而限制上述成本。可即便如此,这些内部的数据中心还是会带来诸如功耗增加等代价,尤其是在规模较大的情况下。而功耗增加,就意味着电费支出更高,还会给设备散热制造麻烦,对可持续发展也会构成不利影响。

在云服务和内部部署场景中,这些开销与输入到中心枢纽的数据量直接相关。而解决的办法,就是在数据到达枢纽之前,尽可能过滤掉其中多余的部分,这就需要借助边缘计算系统,在尽可能接近数据采集来源的地方分析输入数据。

当然,这些边缘计算系统能够实现这种改变的前提,在于它们自身必须具备高能效,而新一代的RISC-V处理器恰在此时悄然面世。这些处理器能够实现三倍于其他指令集架构(ISA)设备的单位性能能效。

边缘ML中的RISC-V:指令更少,功耗更低

ML与边缘计算的融合,使智能设备获得了自主决策和实时适应的能力。对这类数据处理层次的需求与RISC-V处理器技术的进步不谋而合,后者已经应用到了以下联网边缘应用中:

●   可穿戴设备:配备RISC-V处理器的健身追踪器可在设备上执行活动识别和健康监测,实时向用户提供个性化的建议和反馈。

●   智能楼宇:RISC-V处理器已经运用到楼宇自动化设备中,这些设备可以实时执行物体检测、异常识别、智能自动化和安全防护。

●   机器人:配备RISC-V处理器的工业机器人可以实时处理图像和检测物体,从而适应不断变化的环境,并自主执行复杂任务。

RISC-V将在转变各种应用场景的过程中持续发挥关键作用,这部分源于它具有开放、标准化的ISA以及高计算效率,可简化复杂AI算法在边缘设备上的实现。这种效率源自RISC-V架构最基本的构件:指令集。

RISC-V以简化的ISA为基础,具有一套基本的整数指令(RV32I或RV64I),同时处理器架构师可以添加可选扩展,以适应各种使用场景。其中,有两个关键性的扩展显著提高了RISC-V处理器的ML运算能力:

●   向量扩展 (V):该扩展提供了向量运算支持,这对高效矩阵乘法和许多ML算法中的基本运算而言是至关重要的。向量扩展可以让处理器在多个数据元素上同时执行多种运算,从而显著提高性能。

●   压缩扩展 (C):该扩展引入了压缩指令,编码所需的位数更少,因此代码量更小、内存占用更低。这对内存资源有限的边缘设备尤为重要。

结合这些扩展,RISC-V处理器可在执行ML工作负载时实现高性能和高效率。RISC-V处理器IP公司SiFive正是借助向量扩展和其他微体系结构创新,实现了比竞品高30%至40%的能效。[1]

实际上,有研究表明,RISC-V设备在CPI方面往往优于大多数现有指令集架构,这里的CPI是指执行一条指令所需的平均时钟周期数。[2]这些测试表明,RISC-V设备有能力在保持热效率的同时,在更长的时间内执行复杂的ML任务。

RISC-V生态系统和边缘ML工具

RISC-V的模块化ISA尤其适合开发紧凑型高能效处理器。它的指令集更加直接,有助于优化设计、缩短芯片设计和验证时间、降低成本,当然还能降低功耗。

要在终端系统中实现所有这些优势,最终还是要靠开发人员。RISC-V技术为何能在边缘计算环境中得到快速应用?基于开放标准的处理器硬件,以及围绕它而产生并且共同成长的软件和工具生态系统,可谓功不可没。

LLVM和GCC等流行的编译器现在都支持RISC-V,可确保生成的代码针对目标处理器进行优化,包括对ISA扩展的利用。与此同时,TensorFlow和PyTorch等流行的ML框架也正在向RISC-V移植,一众嵌入式软件企业也贡献出了自己的ML库、框架和中间件。

例如,Antmicro和Google Research合作为基于RISC-V的边缘ML应用开发了快速原型设计和投产前 (pre-silicon) 开发解决方案,该解决方案由Renode仿真框架和Kenning裸机运行时组成(图1)。这种联合解决方案可以让ML模型运行在模拟的RISC-V硬件上,帮助开发人员加快工程开发周期。最终,在投入昂贵的芯片制造工序之前,就可以对整个技术栈进行评估和优化。

image.png

图1 Antmicro与Google Research合作推出的RISC-V仿真框架。它为加速ML开发提供了硬件/软件协同设计流程 (图源:作者)

当然,RISC-V和ML开发工具都还有有改进空间。在这些生态系统共同发展的过程中,仍有一些挑战需要克服,包括以下方面:

●   成熟度:与更加成熟的架构相比,RISC-V生态系统相对较新,因而经验丰富的开发人员并不多,可供使用的工具和库也不够全面。

●   标准化:尽管有RISC-V International组织为供应商之间的合作和创新奠定基础,但在开放的生态系统中,产品的实现方式一定是多种多样的,这可能会带来架构碎片化和兼容性问题。持续的标准化工作对于维持平稳统一的开发流程至关重要。

●   硬件可及性:针对ML任务优化的商用RISC-V处理器市场仍然很有限。不过,随着需求的增加,硬件格局也将随之发展和扩大。

要应对这些挑战,就需要业界领导者、研究机构和开源社区开展合作与投资。我们没有任何理由认为业界或开源和开放标准社区会停止向RISC-V生态系统加以投入,也就没有理由认为RISC-V技术会停止在高能效边缘应用领域的发展。

RISC-V塑造边缘ML的未来

从实现的角度而言,精简指令集计算机 (RISC) 和复杂指令集计算机 (CISC) 之间的争论在很大程度上已经过时。现在,CPU的效率和性能主要取决于它的微架构,而架构又是通过其ISA和用于制造物理芯片的制程节点来实现的。

随着RISC-V和边缘ML市场的发展,我们将持续见证硬件创新和更加专业化的RISC-V处理器。这些处理器可能会包含专用的加速器、优化的内存架构和其他各种功能,以提高执行ML工作负载的整体性能和效率。

这些新增功能将继续拓宽采用RISC-V的边缘设备的应用领域,为其在从智能家居和医疗保健设备到工业自动化系统和自动驾驶汽车等各个领域的部署铺平道路。凭借如此广泛的应用范围,基于RISC-V的处理器技术将持续作为智能、互联边缘ML系统的基本构件——这一趋势已在多个领域的实际应用中占据主导地位。

随着RISC-V生态系统不断成熟、开发人员对该架构日益熟悉,更多的创新应用将不断涌现,实现更加出色的ML应用。未来将是智能、互联和高效创新的时代。

参考资料

[1] SiFive website, n.d., accessed February 16, 2024, https://www.sifive.com/.

[2] Wajid Ali. "Exploring Instruction Set Architectural Variations: x86, ARM, and RISC-V in Compute-Intensive Applications," Engineering: Open Access 1, no. 3, (2023):157–162.

作者简介

image.png

Brandon是一位有超过十年经验的深度技术记者、讲述者和技术作家,从软件初创公司到半导体巨头都是他曾经报道过的对象。他关注的领域包括嵌入式处理器、硬件、软件和工具,因为它们都与电子系统集成、物联网/工业4.0部署和边缘人工智能等用例有关。他还是一名出色的播客、视频博主、活动主持人和会议发言人,并曾在多家电子工程贸易出版物中担任主编和技术编辑。Brandon在不出席B2B技术受众的大型活动时,会通过电视指导菲尼克斯地区的体育特许经营公司。

]]>

1713792043620345.png

图源:ipopba/Stock.adobe.com

当你入了机器学习(ML)领域的门之后,很快就会发现,云端的数据存储和处理成本竟然如此之高。为此,许多企业都上了内部部署基础设施的车,试图通过这些设施来承载其ML工作负载,从而限制上述成本。可即便如此,这些内部的数据中心还是会带来诸如功耗增加等代价,尤其是在规模较大的情况下。而功耗增加,就意味着电费支出更高,还会给设备散热制造麻烦,对可持续发展也会构成不利影响。

在云服务和内部部署场景中,这些开销与输入到中心枢纽的数据量直接相关。而解决的办法,就是在数据到达枢纽之前,尽可能过滤掉其中多余的部分,这就需要借助边缘计算系统,在尽可能接近数据采集来源的地方分析输入数据。

当然,这些边缘计算系统能够实现这种改变的前提,在于它们自身必须具备高能效,而新一代的RISC-V处理器恰在此时悄然面世。这些处理器能够实现三倍于其他指令集架构(ISA)设备的单位性能能效。

边缘ML中的RISC-V:指令更少,功耗更低

ML与边缘计算的融合,使智能设备获得了自主决策和实时适应的能力。对这类数据处理层次的需求与RISC-V处理器技术的进步不谋而合,后者已经应用到了以下联网边缘应用中:

●   可穿戴设备:配备RISC-V处理器的健身追踪器可在设备上执行活动识别和健康监测,实时向用户提供个性化的建议和反馈。

●   智能楼宇:RISC-V处理器已经运用到楼宇自动化设备中,这些设备可以实时执行物体检测、异常识别、智能自动化和安全防护。

●   机器人:配备RISC-V处理器的工业机器人可以实时处理图像和检测物体,从而适应不断变化的环境,并自主执行复杂任务。

RISC-V将在转变各种应用场景的过程中持续发挥关键作用,这部分源于它具有开放、标准化的ISA以及高计算效率,可简化复杂AI算法在边缘设备上的实现。这种效率源自RISC-V架构最基本的构件:指令集。

RISC-V以简化的ISA为基础,具有一套基本的整数指令(RV32I或RV64I),同时处理器架构师可以添加可选扩展,以适应各种使用场景。其中,有两个关键性的扩展显著提高了RISC-V处理器的ML运算能力:

●   向量扩展 (V):该扩展提供了向量运算支持,这对高效矩阵乘法和许多ML算法中的基本运算而言是至关重要的。向量扩展可以让处理器在多个数据元素上同时执行多种运算,从而显著提高性能。

●   压缩扩展 (C):该扩展引入了压缩指令,编码所需的位数更少,因此代码量更小、内存占用更低。这对内存资源有限的边缘设备尤为重要。

结合这些扩展,RISC-V处理器可在执行ML工作负载时实现高性能和高效率。RISC-V处理器IP公司SiFive正是借助向量扩展和其他微体系结构创新,实现了比竞品高30%至40%的能效。[1]

实际上,有研究表明,RISC-V设备在CPI方面往往优于大多数现有指令集架构,这里的CPI是指执行一条指令所需的平均时钟周期数。[2]这些测试表明,RISC-V设备有能力在保持热效率的同时,在更长的时间内执行复杂的ML任务。

RISC-V生态系统和边缘ML工具

RISC-V的模块化ISA尤其适合开发紧凑型高能效处理器。它的指令集更加直接,有助于优化设计、缩短芯片设计和验证时间、降低成本,当然还能降低功耗。

要在终端系统中实现所有这些优势,最终还是要靠开发人员。RISC-V技术为何能在边缘计算环境中得到快速应用?基于开放标准的处理器硬件,以及围绕它而产生并且共同成长的软件和工具生态系统,可谓功不可没。

LLVM和GCC等流行的编译器现在都支持RISC-V,可确保生成的代码针对目标处理器进行优化,包括对ISA扩展的利用。与此同时,TensorFlow和PyTorch等流行的ML框架也正在向RISC-V移植,一众嵌入式软件企业也贡献出了自己的ML库、框架和中间件。

例如,Antmicro和Google Research合作为基于RISC-V的边缘ML应用开发了快速原型设计和投产前 (pre-silicon) 开发解决方案,该解决方案由Renode仿真框架和Kenning裸机运行时组成(图1)。这种联合解决方案可以让ML模型运行在模拟的RISC-V硬件上,帮助开发人员加快工程开发周期。最终,在投入昂贵的芯片制造工序之前,就可以对整个技术栈进行评估和优化。

image.png

图1 Antmicro与Google Research合作推出的RISC-V仿真框架。它为加速ML开发提供了硬件/软件协同设计流程 (图源:作者)

当然,RISC-V和ML开发工具都还有有改进空间。在这些生态系统共同发展的过程中,仍有一些挑战需要克服,包括以下方面:

●   成熟度:与更加成熟的架构相比,RISC-V生态系统相对较新,因而经验丰富的开发人员并不多,可供使用的工具和库也不够全面。

●   标准化:尽管有RISC-V International组织为供应商之间的合作和创新奠定基础,但在开放的生态系统中,产品的实现方式一定是多种多样的,这可能会带来架构碎片化和兼容性问题。持续的标准化工作对于维持平稳统一的开发流程至关重要。

●   硬件可及性:针对ML任务优化的商用RISC-V处理器市场仍然很有限。不过,随着需求的增加,硬件格局也将随之发展和扩大。

要应对这些挑战,就需要业界领导者、研究机构和开源社区开展合作与投资。我们没有任何理由认为业界或开源和开放标准社区会停止向RISC-V生态系统加以投入,也就没有理由认为RISC-V技术会停止在高能效边缘应用领域的发展。

RISC-V塑造边缘ML的未来

从实现的角度而言,精简指令集计算机 (RISC) 和复杂指令集计算机 (CISC) 之间的争论在很大程度上已经过时。现在,CPU的效率和性能主要取决于它的微架构,而架构又是通过其ISA和用于制造物理芯片的制程节点来实现的。

随着RISC-V和边缘ML市场的发展,我们将持续见证硬件创新和更加专业化的RISC-V处理器。这些处理器可能会包含专用的加速器、优化的内存架构和其他各种功能,以提高执行ML工作负载的整体性能和效率。

这些新增功能将继续拓宽采用RISC-V的边缘设备的应用领域,为其在从智能家居和医疗保健设备到工业自动化系统和自动驾驶汽车等各个领域的部署铺平道路。凭借如此广泛的应用范围,基于RISC-V的处理器技术将持续作为智能、互联边缘ML系统的基本构件——这一趋势已在多个领域的实际应用中占据主导地位。

随着RISC-V生态系统不断成熟、开发人员对该架构日益熟悉,更多的创新应用将不断涌现,实现更加出色的ML应用。未来将是智能、互联和高效创新的时代。

参考资料

[1] SiFive website, n.d., accessed February 16, 2024, https://www.sifive.com/.

[2] Wajid Ali. "Exploring Instruction Set Architectural Variations: x86, ARM, and RISC-V in Compute-Intensive Applications," Engineering: Open Access 1, no. 3, (2023):157–162.

作者简介

image.png

Brandon是一位有超过十年经验的深度技术记者、讲述者和技术作家,从软件初创公司到半导体巨头都是他曾经报道过的对象。他关注的领域包括嵌入式处理器、硬件、软件和工具,因为它们都与电子系统集成、物联网/工业4.0部署和边缘人工智能等用例有关。他还是一名出色的播客、视频博主、活动主持人和会议发言人,并曾在多家电子工程贸易出版物中担任主编和技术编辑。Brandon在不出席B2B技术受众的大型活动时,会通过电视指导菲尼克斯地区的体育特许经营公司。

]]>
http://www.amcfsurvey.com/article/202404/457934.htm Mon, 22 Apr 2024 21:05:31 +0800
<![CDATA[ 3月份俄罗斯乘用车市场销量同比增长110% ]]>

意义:

根据欧洲商业协会(AEB)和Autostat的数据,3月份俄罗斯乘用车市场销量同比增长110.0%,达到142,991辆。

展望:

这一令人印象深刻的增幅主要是由于AEB现在在整理数据时纳入了进口车辆数据,因此这不是一个完全对等的比较。

根据欧洲商业协会(AEB)和Autostat的数据,俄罗斯乘用车市场销量同比增长110.0%,达到142,991辆。不过,这一巨大增长主要是由于欧洲商业协会以一种不同的方式整合了数据,包含了来自中国汽车制造商、经销商和个体销售商的灰色进口车辆,因此这不是一个完全对等的比较。今年第一季度,俄罗斯乘用车市场累计销量同比增长90.5%。


1713790604242339.jpg

Source:Getty Images


从品牌层面来看,俄罗斯国有车企及长期市场领导者——伏尔加汽车旗下的拉达品牌3月份销售表现强劲,销量同比增长83.6%,达到42,840辆。2022年2月俄乌冲突爆发后,由于外国汽车制造商大规模撤离俄罗斯市场,这家俄罗斯领先的汽车制造商成为这一事件的主要受益者。拉达品牌3月录得自2005年以来最高的月度销量水平,在2005年之后,俄罗斯汽车市场的自由化进程大幅加快。拉达旗下的Granta是3月份俄罗斯市场最畅销的车型。

奇瑞是3月份俄罗斯市场第二畅销品牌,该品牌也是俄乌冲突爆发后众多进入俄罗斯市场的中国品牌之一。奇瑞有望填补众多欧洲、日韩车企撤出俄罗斯市场后留下的空缺;特别是现代/起亚,在退出俄罗斯市场前十年一直是销量排名第二的汽车集团。奇瑞拥有众多C级和D级SUV车型产品,满足了俄罗斯市场对这类车型的巨大需求。该品牌3月销量同比激增314.1%,达到33,002辆。

排名第三的是吉利汽车旗下的运动型多用途车(SUV),销量同比大幅增长至29,104辆。自俄乌冲突爆发以来,哈弗一直是俄罗斯第二大畅销汽车品牌,但3月份奇瑞和吉利的出色表现使其跌至销量第四位,其中Jolion B级SUV再次成为该品牌旗下最畅销的车型。

分析观点深度解析

尽管俄罗斯汽车市场数据测量方式的变化是导致销量异常增长的原因之一,但销量激增背后的原因还包括去年同期比较基数较低、本地汽车产量增加以及中国进口汽车的进一步增长,其中也包括在俄罗斯当地组装的中国车辆增加。除了这些因素外,销量增长一定程度上也与3月份提前购买车辆有关,旨在避免2024年4月生效的车辆报废费用上调。鉴于俄罗斯经销商手中中国汽车的库存不断增加,我们预计未来1至3个月俄罗斯汽车市场的价格将趋于稳定。S&P Global Mobility[标普全球汽车]预计,今年第二季度开始,俄罗斯汽车市场的增速将放缓至30%左右,下半年甚至可能会更低。这主要是由于与比较年低基数效应的逐渐减弱、供给状况严峻以及从2024年4月开始对从欧亚经济联盟国家进口的汽车征收额外报废费用等因素造成的。

俄罗斯汽车制造商正在重建汽车供应链,并已经重新开始大规模生产汽车。中国汽车制造商正在扩大在当地的车辆组装规模,其中也包括纯电动汽车。部分俄罗斯品牌已经推出了纯电动车型,但都是通过中国国内的汽车换标来实现的,例如Moskvitch、Sollers、Amberavto等。此外,中国汽车的进口量正在不断增长,品牌数量也在增加。许多车辆是通过俄罗斯的邻国进口,这些车辆可能被视为进口到俄罗斯的二手车。因此,几乎不可能将这些进口车辆包含在我们的预测中。俄罗斯汽车销量增长也受到了购车贷款优惠计划延长的影响。符合条件的购车者可享受车辆价格的20%折扣(俄罗斯远东地区折扣为25%)。对于在俄罗斯组装的电动汽车,折扣可提高至35%。至少有一个子女的家庭、教育工作者、医务工作者、军人或首次购车者有资格参加该计划。同样的条件也适用于车龄六年以上以旧换新的车辆。

S&P Global Mobility[标普全球汽车]已将2024年俄罗斯轻型汽车销量预测上调至137万辆,这相当于在2023年增长了62.4%之后再次增长了22%。对于明年,我们预计销量将小幅增长2.5%,假设届时俄罗斯汽车市场达到新的市场水平,其进一步市场表现将受到俄罗斯经济状况以及制裁不断升级导致购买力受限的影响。

本文内容来自S&P Global Mobility[标普全球汽车]收费内容

文章版权归微信平台S&P Global Mobility[标普全球汽车]所有

]]>

意义:

根据欧洲商业协会(AEB)和Autostat的数据,3月份俄罗斯乘用车市场销量同比增长110.0%,达到142,991辆。

展望:

这一令人印象深刻的增幅主要是由于AEB现在在整理数据时纳入了进口车辆数据,因此这不是一个完全对等的比较。

根据欧洲商业协会(AEB)和Autostat的数据,俄罗斯乘用车市场销量同比增长110.0%,达到142,991辆。不过,这一巨大增长主要是由于欧洲商业协会以一种不同的方式整合了数据,包含了来自中国汽车制造商、经销商和个体销售商的灰色进口车辆,因此这不是一个完全对等的比较。今年第一季度,俄罗斯乘用车市场累计销量同比增长90.5%。


1713790604242339.jpg

Source:Getty Images


从品牌层面来看,俄罗斯国有车企及长期市场领导者——伏尔加汽车旗下的拉达品牌3月份销售表现强劲,销量同比增长83.6%,达到42,840辆。2022年2月俄乌冲突爆发后,由于外国汽车制造商大规模撤离俄罗斯市场,这家俄罗斯领先的汽车制造商成为这一事件的主要受益者。拉达品牌3月录得自2005年以来最高的月度销量水平,在2005年之后,俄罗斯汽车市场的自由化进程大幅加快。拉达旗下的Granta是3月份俄罗斯市场最畅销的车型。

奇瑞是3月份俄罗斯市场第二畅销品牌,该品牌也是俄乌冲突爆发后众多进入俄罗斯市场的中国品牌之一。奇瑞有望填补众多欧洲、日韩车企撤出俄罗斯市场后留下的空缺;特别是现代/起亚,在退出俄罗斯市场前十年一直是销量排名第二的汽车集团。奇瑞拥有众多C级和D级SUV车型产品,满足了俄罗斯市场对这类车型的巨大需求。该品牌3月销量同比激增314.1%,达到33,002辆。

排名第三的是吉利汽车旗下的运动型多用途车(SUV),销量同比大幅增长至29,104辆。自俄乌冲突爆发以来,哈弗一直是俄罗斯第二大畅销汽车品牌,但3月份奇瑞和吉利的出色表现使其跌至销量第四位,其中Jolion B级SUV再次成为该品牌旗下最畅销的车型。

分析观点深度解析

尽管俄罗斯汽车市场数据测量方式的变化是导致销量异常增长的原因之一,但销量激增背后的原因还包括去年同期比较基数较低、本地汽车产量增加以及中国进口汽车的进一步增长,其中也包括在俄罗斯当地组装的中国车辆增加。除了这些因素外,销量增长一定程度上也与3月份提前购买车辆有关,旨在避免2024年4月生效的车辆报废费用上调。鉴于俄罗斯经销商手中中国汽车的库存不断增加,我们预计未来1至3个月俄罗斯汽车市场的价格将趋于稳定。S&P Global Mobility[标普全球汽车]预计,今年第二季度开始,俄罗斯汽车市场的增速将放缓至30%左右,下半年甚至可能会更低。这主要是由于与比较年低基数效应的逐渐减弱、供给状况严峻以及从2024年4月开始对从欧亚经济联盟国家进口的汽车征收额外报废费用等因素造成的。

俄罗斯汽车制造商正在重建汽车供应链,并已经重新开始大规模生产汽车。中国汽车制造商正在扩大在当地的车辆组装规模,其中也包括纯电动汽车。部分俄罗斯品牌已经推出了纯电动车型,但都是通过中国国内的汽车换标来实现的,例如Moskvitch、Sollers、Amberavto等。此外,中国汽车的进口量正在不断增长,品牌数量也在增加。许多车辆是通过俄罗斯的邻国进口,这些车辆可能被视为进口到俄罗斯的二手车。因此,几乎不可能将这些进口车辆包含在我们的预测中。俄罗斯汽车销量增长也受到了购车贷款优惠计划延长的影响。符合条件的购车者可享受车辆价格的20%折扣(俄罗斯远东地区折扣为25%)。对于在俄罗斯组装的电动汽车,折扣可提高至35%。至少有一个子女的家庭、教育工作者、医务工作者、军人或首次购车者有资格参加该计划。同样的条件也适用于车龄六年以上以旧换新的车辆。

S&P Global Mobility[标普全球汽车]已将2024年俄罗斯轻型汽车销量预测上调至137万辆,这相当于在2023年增长了62.4%之后再次增长了22%。对于明年,我们预计销量将小幅增长2.5%,假设届时俄罗斯汽车市场达到新的市场水平,其进一步市场表现将受到俄罗斯经济状况以及制裁不断升级导致购买力受限的影响。

本文内容来自S&P Global Mobility[标普全球汽车]收费内容

文章版权归微信平台S&P Global Mobility[标普全球汽车]所有

]]>
http://www.amcfsurvey.com/article/202404/457933.htm Mon, 22 Apr 2024 20:56:22 +0800
<![CDATA[ 3月份吉利汽车销量同比增长39% ]]> 吉利汽车的最新销售报告显示,公司今年3月销量同比增长39%,达到150,835辆。3月份的销售表现包含了吉利、极氪和领克品牌的销量。2024年第一季度,吉利汽车累计销量同比增长49%,达到475,720辆。吉利品牌3月份销量为118,152辆,同比增长34%,领克品牌的销量则同比增长40%,达到19,671辆。吉利高端电动汽车品牌极氪3月份销量同比增长49%,达到13,012辆。3月份,纯电动汽车(BEV)和插电式混动汽车(PHEV)的销量占吉利汽车总销量的30%。3月份,吉利纯电动汽车销量为28,435辆,同比增长14%,插电式混动汽车销量为16,356辆,相比之下,2022年3月销量为2,150辆。3月份,吉利汽车出口量同比增长81%,达到36,405辆。2024年第一季度,吉利汽车累计出口量同比增长66%,达到87,040辆。


微信图片_20240422205044.jpg

Source:Getty Images


分析观点深度解析

吉利汽车的目标是2024年销售190万辆汽车,同比增长13%。吉利、极氪和领克等品牌推出的新车型产品将有助于实现这一增长目标。极氪即将推出的第四款车型将是一款纯电动面包车。该品牌在第一季度实现了强劲的销量增长,交付量同比增长117%,达到33,000辆。极氪过去12个月的稳健销售表现为吉利分拆极氪并在美国上市铺平了道路。在提交给美国市场监管机构的文件中,极氪表示,由于交付量增加,公司2023年营收同比增长62%,达到516.7亿元人民币(约合72.8亿美元)。不过该电动汽车品牌表示,由于其在电动汽车领域的业务仍处于早期阶段,目前尚无法给出具体的盈利时间表。2023年,极氪录得净亏损82.6亿元人民币,较2022年的76.5亿元进一步增加。

本文内容来自S&P Global Mobility[标普全球汽车]收费内容

文章版权归微信平台S&P Global Mobility[标普全球汽车]所有

]]>
吉利汽车的最新销售报告显示,公司今年3月销量同比增长39%,达到150,835辆。3月份的销售表现包含了吉利、极氪和领克品牌的销量。2024年第一季度,吉利汽车累计销量同比增长49%,达到475,720辆。吉利品牌3月份销量为118,152辆,同比增长34%,领克品牌的销量则同比增长40%,达到19,671辆。吉利高端电动汽车品牌极氪3月份销量同比增长49%,达到13,012辆。3月份,纯电动汽车(BEV)和插电式混动汽车(PHEV)的销量占吉利汽车总销量的30%。3月份,吉利纯电动汽车销量为28,435辆,同比增长14%,插电式混动汽车销量为16,356辆,相比之下,2022年3月销量为2,150辆。3月份,吉利汽车出口量同比增长81%,达到36,405辆。2024年第一季度,吉利汽车累计出口量同比增长66%,达到87,040辆。


微信图片_20240422205044.jpg

Source:Getty Images


分析观点深度解析

吉利汽车的目标是2024年销售190万辆汽车,同比增长13%。吉利、极氪和领克等品牌推出的新车型产品将有助于实现这一增长目标。极氪即将推出的第四款车型将是一款纯电动面包车。该品牌在第一季度实现了强劲的销量增长,交付量同比增长117%,达到33,000辆。极氪过去12个月的稳健销售表现为吉利分拆极氪并在美国上市铺平了道路。在提交给美国市场监管机构的文件中,极氪表示,由于交付量增加,公司2023年营收同比增长62%,达到516.7亿元人民币(约合72.8亿美元)。不过该电动汽车品牌表示,由于其在电动汽车领域的业务仍处于早期阶段,目前尚无法给出具体的盈利时间表。2023年,极氪录得净亏损82.6亿元人民币,较2022年的76.5亿元进一步增加。

本文内容来自S&P Global Mobility[标普全球汽车]收费内容

文章版权归微信平台S&P Global Mobility[标普全球汽车]所有

]]>
http://www.amcfsurvey.com/article/202404/457932.htm Mon, 22 Apr 2024 20:53:33 +0800
<![CDATA[ 罗姆集团旗下SiCrystal与意法半导体新签协议,扩大碳化硅衬底供应 ]]> 罗姆与服务多重电子应用领域、全球排名前列的半导体公司意法半导体(STMicroelectronics,简称ST)近日宣布,双方将在意法半导体与罗姆集团旗下SiCrystal公司现有的150mm (6英寸) 碳化硅 (SiC) 衬底晶圆多年长期供货协议基础上,继续扩大合作。根据新签署的长期供货协议,SiCrystal公司将对意法半导体加大德国纽伦堡产的碳化硅衬底晶圆供应力度,预计协议总价不低于2.3亿美元。

意法半导体执行副总裁、首席采购官Geoff West表示:“与SiCrystal签署扩大供应协议将帮助ST拿到更多的150mm (6吋) SiC衬底晶圆,以促进我们碳化硅芯片的产能提升,更好地满足全球汽车和工业客户的需求。新协议还能均衡ST内外供应比例,加强我们的供应链韧性,更好地应对未来需求增长。”

罗姆集团旗下SiCrystal公司总裁兼首席执行官Robert Eckstein博士表示:“SiCrystal公司隶属于罗姆集团,有多年的碳化硅衬底晶圆制造经验。非常高兴能与我们的长期客户ST续签协议并扩大合作。我们将一如既往地支持我们的合作伙伴扩大碳化硅业务,在确保产品质量始终可靠的基础上,不断提升提高6英寸SiC衬底晶圆产量。”

碳化硅功率半导体本身能效很高,是赋能汽车和工业两大市场以可持续的方式朝着电气化转型的重要助力。碳化硅有助于提高发电、配电和储能的能效,支持交通工具向更清洁的解决方案转型,开发碳排放更低的制造工艺,打造更绿色的能源未来,为AI专用的数据中心等资源密集型基础设施提供更可靠的电源。

]]>
罗姆与服务多重电子应用领域、全球排名前列的半导体公司意法半导体(STMicroelectronics,简称ST)近日宣布,双方将在意法半导体与罗姆集团旗下SiCrystal公司现有的150mm (6英寸) 碳化硅 (SiC) 衬底晶圆多年长期供货协议基础上,继续扩大合作。根据新签署的长期供货协议,SiCrystal公司将对意法半导体加大德国纽伦堡产的碳化硅衬底晶圆供应力度,预计协议总价不低于2.3亿美元。

意法半导体执行副总裁、首席采购官Geoff West表示:“与SiCrystal签署扩大供应协议将帮助ST拿到更多的150mm (6吋) SiC衬底晶圆,以促进我们碳化硅芯片的产能提升,更好地满足全球汽车和工业客户的需求。新协议还能均衡ST内外供应比例,加强我们的供应链韧性,更好地应对未来需求增长。”

罗姆集团旗下SiCrystal公司总裁兼首席执行官Robert Eckstein博士表示:“SiCrystal公司隶属于罗姆集团,有多年的碳化硅衬底晶圆制造经验。非常高兴能与我们的长期客户ST续签协议并扩大合作。我们将一如既往地支持我们的合作伙伴扩大碳化硅业务,在确保产品质量始终可靠的基础上,不断提升提高6英寸SiC衬底晶圆产量。”

碳化硅功率半导体本身能效很高,是赋能汽车和工业两大市场以可持续的方式朝着电气化转型的重要助力。碳化硅有助于提高发电、配电和储能的能效,支持交通工具向更清洁的解决方案转型,开发碳排放更低的制造工艺,打造更绿色的能源未来,为AI专用的数据中心等资源密集型基础设施提供更可靠的电源。

]]>
http://www.amcfsurvey.com/article/202404/457931.htm Mon, 22 Apr 2024 18:37:15 +0800
<![CDATA[ 实现开源软件安全,开发人员需要考量的三大关键因素 ]]> 网络威胁变幻莫测,最近备受瞩目的开源软件安全事件(如 log4Shell、Solar Winds、Colors and Fakers 等)及其对全球数以千计公司造成的灾难性影响,凸显了企业目前在强化数字环境方面所面临的挑战。例如,IDC 的一项调查显示,虽然2022 年一年内全球就有超过 1,000 万人和 1,700 家实体受到开源软件供应链攻击的影响,但仍有87% 的受访者青睐继续使用开源组件来构建软件。使用开源代码的人日益增多,这带来不可否认的优势,促进合作开发,加快发展进程。然而,也必须认识到,这种整合存在风险。

开源代码的崛起: 一把双刃剑

有行业研究表明,82%的开源软件组件因存在漏洞、安全问题、代码质量或可维护性问题而存在“固有风险”。该报告还显示,虽然企业中超过 70% 的软件是开源的,但这些组件往往没有得到追踪、维护、更新或清点,从而在软件供应链中留下了严重的漏洞,让威胁行为者有可乘之机。这些数据凸显出当下已成为软件创新与安全相融合的“节骨眼”。

在当今软件驱动的世界里,“唯快不破”的口号推动着软件开发,对软件开发和部署的速度提出更高的要求。开发人员要兼顾业务需求,而安全团队则要增加保护层,但这些措施可能会延长时间。尽管偷工减料的做法很具诱惑性,但 IDC 的智慧还是占了上风:“今天安全不意味着明天一定安全”。IDC 指出,在部署之后进行二进制漏洞修复,可能会花费数百万美元。更明智的做法是在部署软件之前,评估并解决安全问题,避免在高风险运行时造成影响。在创新无止境的时代,安全不是一种选择,而是成败的决定性因素。

开发人员的关键考量因素

软件开发过程错综复杂,为加速开发和部署安全软件,关键在于开发人员、运营团队和安全团队之间的协作。

开发人员需要考虑三大关键领域:

●   为拦截抵御新出现的安全威胁,当务之急是对依赖的事项加强管理并定期更新。

●   进行彻底的二进制审查,保障第三方组件的真实性和完整性,从而降低潜在风险。

●   实施持续监测和自动漏洞扫描,确保主动识别并修复安全漏洞。通过遵守这些关键注意事项,开发人员就能提高软件的可靠性和弹性,增强用户信心,保护整个数字生态系统。

将安全工具无缝集成到开发工作流中,能够带来变革性优势。通过采用整合平台,无需管理众多不同的工具,能够简化运营,提高效率并推动协作。这种方法不仅能加快解决问题的速度,还能通过最大限度地减少漏洞来加强安全性。面对不断变化的威胁,整合平台的方式具有战略上的必要性,赋能公司应对挑战,同时增强自身整体安全态势。

确保软件供应链的安全: 强化,强化,再强化

当开发人员穿行于开源软件的动态环境中时,有一条基本原则至关重要 —— 安全必须渗透到软件供应链的方方面面。在软件开发生命周期的各个环节落实安全措施,就好比加固数字堡垒的城墙,防止入侵和漏洞。为实现更安全的未来,关键就在于拥有能够从一开始就扫描并阻止开源软件组件渗入软件供应链的强大工具。开发人员有责任在自身项目中优先考虑安全问题。他们利用所掌握的各种安全工具,就能创建一个创新与安全和谐共存的弹性生态系统。实现安全的开源代码不仅是一种责任,也证明了坚定的承诺——建立以协作、创新和安全为基础的数字环境。

]]>
网络威胁变幻莫测,最近备受瞩目的开源软件安全事件(如 log4Shell、Solar Winds、Colors and Fakers 等)及其对全球数以千计公司造成的灾难性影响,凸显了企业目前在强化数字环境方面所面临的挑战。例如,IDC 的一项调查显示,虽然2022 年一年内全球就有超过 1,000 万人和 1,700 家实体受到开源软件供应链攻击的影响,但仍有87% 的受访者青睐继续使用开源组件来构建软件。使用开源代码的人日益增多,这带来不可否认的优势,促进合作开发,加快发展进程。然而,也必须认识到,这种整合存在风险。

开源代码的崛起: 一把双刃剑

有行业研究表明,82%的开源软件组件因存在漏洞、安全问题、代码质量或可维护性问题而存在“固有风险”。该报告还显示,虽然企业中超过 70% 的软件是开源的,但这些组件往往没有得到追踪、维护、更新或清点,从而在软件供应链中留下了严重的漏洞,让威胁行为者有可乘之机。这些数据凸显出当下已成为软件创新与安全相融合的“节骨眼”。

在当今软件驱动的世界里,“唯快不破”的口号推动着软件开发,对软件开发和部署的速度提出更高的要求。开发人员要兼顾业务需求,而安全团队则要增加保护层,但这些措施可能会延长时间。尽管偷工减料的做法很具诱惑性,但 IDC 的智慧还是占了上风:“今天安全不意味着明天一定安全”。IDC 指出,在部署之后进行二进制漏洞修复,可能会花费数百万美元。更明智的做法是在部署软件之前,评估并解决安全问题,避免在高风险运行时造成影响。在创新无止境的时代,安全不是一种选择,而是成败的决定性因素。

开发人员的关键考量因素

软件开发过程错综复杂,为加速开发和部署安全软件,关键在于开发人员、运营团队和安全团队之间的协作。

开发人员需要考虑三大关键领域:

●   为拦截抵御新出现的安全威胁,当务之急是对依赖的事项加强管理并定期更新。

●   进行彻底的二进制审查,保障第三方组件的真实性和完整性,从而降低潜在风险。

●   实施持续监测和自动漏洞扫描,确保主动识别并修复安全漏洞。通过遵守这些关键注意事项,开发人员就能提高软件的可靠性和弹性,增强用户信心,保护整个数字生态系统。

将安全工具无缝集成到开发工作流中,能够带来变革性优势。通过采用整合平台,无需管理众多不同的工具,能够简化运营,提高效率并推动协作。这种方法不仅能加快解决问题的速度,还能通过最大限度地减少漏洞来加强安全性。面对不断变化的威胁,整合平台的方式具有战略上的必要性,赋能公司应对挑战,同时增强自身整体安全态势。

确保软件供应链的安全: 强化,强化,再强化

当开发人员穿行于开源软件的动态环境中时,有一条基本原则至关重要 —— 安全必须渗透到软件供应链的方方面面。在软件开发生命周期的各个环节落实安全措施,就好比加固数字堡垒的城墙,防止入侵和漏洞。为实现更安全的未来,关键就在于拥有能够从一开始就扫描并阻止开源软件组件渗入软件供应链的强大工具。开发人员有责任在自身项目中优先考虑安全问题。他们利用所掌握的各种安全工具,就能创建一个创新与安全和谐共存的弹性生态系统。实现安全的开源代码不仅是一种责任,也证明了坚定的承诺——建立以协作、创新和安全为基础的数字环境。

]]>
http://www.amcfsurvey.com/article/202404/457930.htm Mon, 22 Apr 2024 18:35:16 +0800
<![CDATA[ 尼得科开发的电机产品被太阳诱电株式会社推出的充满一次电可骑行1000km的装有再生制动系统的电动助力自行车采用 ]]> 尼得科株式会社开发的电机产品被太阳诱电株式会社(以下简称“太阳诱电”)推出的可支持再生制动系统的电动助力自行车——充满一次电可最多骑行1000km*1的再生电动助力系统 “FEREMO™”*2所采用。

太阳诱电开发的再生电动助力系统“FEREMO™”是一种在刹车或未踩踏板等减速状态下,将助力电机当作发电机来使用的、对运动能量进行回收和再利用的系统。该系统与以往的电动助力系统相比,大幅提升了充满一次电可骑行的距离,即充满一次电可最多骑行1000km 的远距离。此外,由于再生制动系统在运转中会控制速度,即使在下坡的状态下也可确保安全行驶。

image.png

图片 2.png

图片 1.png

再生电动助力系统“FEREMO™”及试制车辆

image.png

image.png

image.png

支持再生制动系统的电动助力自行车用电机

“FEREMO™”所搭载的电动助力自行车用电机产品是尼得科专门为了满足该系统的特定需求而进行的设计。以往的助力系统仅靠动力来运行(使用电池的电力来驱动电机),而该产品是在减速时作为发电机来运转,进行再生(借助外部力量使电机运转并发电)。尼得科迄今为止也推出过可支持再生制动系统的电机产品,但本产品与以往的机型相比,再生电力可提高30%,凭借行业较高等级的发电效率,可支持“FEREMO™”最多实现1000km的远距离骑行。并且,与仅有助力电机不同,由于始终存在负载,因此与以往的产品相比在耐久性、静音性和散热性等方面均有所提升。

尼得科作为全球颇具实力的综合电机制造商,今后将继续开发运用了轻薄短小技术、高能效技术和控制技术的产品,以压倒性的速度在全球范围内提供有益于改善人们生活品质的创新型解决方案。

*1:根据太阳诱电的试制车的JIS规格(JIS D9115:2018)下的测量法。(环保模式1000km、中速模式200㎞、高速模式100㎞)

*2:“FEREMO™”、“image.png”为太阳诱电株式会社在日本国内的注册商标或商标。

]]>
尼得科株式会社开发的电机产品被太阳诱电株式会社(以下简称“太阳诱电”)推出的可支持再生制动系统的电动助力自行车——充满一次电可最多骑行1000km*1的再生电动助力系统 “FEREMO™”*2所采用。

太阳诱电开发的再生电动助力系统“FEREMO™”是一种在刹车或未踩踏板等减速状态下,将助力电机当作发电机来使用的、对运动能量进行回收和再利用的系统。该系统与以往的电动助力系统相比,大幅提升了充满一次电可骑行的距离,即充满一次电可最多骑行1000km 的远距离。此外,由于再生制动系统在运转中会控制速度,即使在下坡的状态下也可确保安全行驶。

image.png

图片 2.png

图片 1.png

再生电动助力系统“FEREMO™”及试制车辆

image.png

image.png

image.png

支持再生制动系统的电动助力自行车用电机

“FEREMO™”所搭载的电动助力自行车用电机产品是尼得科专门为了满足该系统的特定需求而进行的设计。以往的助力系统仅靠动力来运行(使用电池的电力来驱动电机),而该产品是在减速时作为发电机来运转,进行再生(借助外部力量使电机运转并发电)。尼得科迄今为止也推出过可支持再生制动系统的电机产品,但本产品与以往的机型相比,再生电力可提高30%,凭借行业较高等级的发电效率,可支持“FEREMO™”最多实现1000km的远距离骑行。并且,与仅有助力电机不同,由于始终存在负载,因此与以往的产品相比在耐久性、静音性和散热性等方面均有所提升。

尼得科作为全球颇具实力的综合电机制造商,今后将继续开发运用了轻薄短小技术、高能效技术和控制技术的产品,以压倒性的速度在全球范围内提供有益于改善人们生活品质的创新型解决方案。

*1:根据太阳诱电的试制车的JIS规格(JIS D9115:2018)下的测量法。(环保模式1000km、中速模式200㎞、高速模式100㎞)

*2:“FEREMO™”、“image.png”为太阳诱电株式会社在日本国内的注册商标或商标。

]]>
http://www.amcfsurvey.com/article/202404/457929.htm Mon, 22 Apr 2024 18:27:45 +0800
<![CDATA[ Microchip收购ADAS和数字驾驶舱连接先驱VSI Co. Ltd.扩大车联网市场领先地位 ]]> Microchip Technology Inc.(微芯科技公司)近日宣布完成对总部位于韩国首尔的VSI Co. Ltd.的收购。该公司是提供基于车载网络(IVN)开放标准Automotive SerDes Alliance (ASA)的高速非对称摄像头、传感器和显示器连接技术和产品的行业先驱。交易条款未披露。

根据Yole Group[1]的数据,汽车雷达、摄像头和激光雷达模块的市场规模预计将在2022年至2028 年增长两倍以上,达到270 亿美元。推动增长的原因是,下一代软件定义汽车(SDV)对高级驾驶辅助系统(ADAS)、车内监控、安全和便利功能(例如360度环视、电子后视镜)以及多屏数字驾驶舱的应用日益增多。这些应用将需要更多高度不对称的原始数据和视频链路以及更高的带宽,这使得目前基于专有串行器/解串器(SerDes)的解决方案在商业和技术上都不再适用。为应对这些发展趋势,汽车SerDes联盟(ASA)于 2019 年成立,并发布了首个开放标准的 ASA Motion Link(ASA-ML)规范。

1713781359952312.jpg

Microchip负责汽车产品、网络和数据中心业务部的高级副总裁Mitch Obolsky表示:“此次收购为Microchip广泛的车联网产品组合带来了VSI专业技术团队、市场影响力以及ASA Motion Link技术和产品,从而更好地服务于我们关注的ADAS行业大趋势。随着业界围绕以太网、PCIe®和ASA Motion Link这三大IVN支柱进行融合,摄像头和显示器连接成为增长最快、规模最大的IVN市场之一。收购VSI后,Microchip现在可以提供涵盖所有三大支柱的产品,还能为客户提供汽车安全、单片机、电机控制、触屏和电源管理解决方案,以支持他们的下一代软件定义汽车架构。”

目前,ASA 拥有超过145个成员,其中包括作为发起成员的 Microchip。该联盟拥有包括宝马、通用、福特、Stellantis 和现代-起亚汽车公司在内的11家汽车制造商,还包括从一级供应商、半导体和成像仪供应商到测试和合规公司的生态系统。ASA-ML除了是一项开放标准外,还具有链路层安全性和可扩展性,可支持2 Gbps 至 16 Gbps 的线路速率。此外,即将进行的规范更新将使ASA-ML能够支持基于以太网的架构。

VSI Co. Ltd.首席执行官Steve Kang表示:“Microchip Technology是车联网领域公认的、值得信赖的市场领导者,以高品质和强大的供应链而闻名,我们的团队很高兴能加入他们,共同开拓不断增长的ADAS和数字驾驶舱连接市场。VSI是ASA-ML产品开发领域的领导者,也是首家向市场推出相关产品的公司。我们符合标准的芯片组正在接受全球汽车制造商的评估。我们最近与宝马合作进行了一次概念验证,以展示 ASA-ML和我们可直接用于生产的产品。此次收购让两家共同致力于通过创新推动技术发展的公司融为一体。我们期待在未来数年成功地将我们的解决方案部署到量产车。”

2024年3月,宝马集团在慕尼黑举行的汽车以太网大会上宣布,将在即将投产的产品中使用标准化ASA-ML。宝马一直走在车载网络创新的前沿,并坚信在车辆架构和视频架构中利用标准化技术的重要性。


[1]   来源:用于汽车的激光雷达- 用于汽车的雷达-摄像头行业现状- Yole Intelligence,2023 年。

]]>
Microchip Technology Inc.(微芯科技公司)近日宣布完成对总部位于韩国首尔的VSI Co. Ltd.的收购。该公司是提供基于车载网络(IVN)开放标准Automotive SerDes Alliance (ASA)的高速非对称摄像头、传感器和显示器连接技术和产品的行业先驱。交易条款未披露。

根据Yole Group[1]的数据,汽车雷达、摄像头和激光雷达模块的市场规模预计将在2022年至2028 年增长两倍以上,达到270 亿美元。推动增长的原因是,下一代软件定义汽车(SDV)对高级驾驶辅助系统(ADAS)、车内监控、安全和便利功能(例如360度环视、电子后视镜)以及多屏数字驾驶舱的应用日益增多。这些应用将需要更多高度不对称的原始数据和视频链路以及更高的带宽,这使得目前基于专有串行器/解串器(SerDes)的解决方案在商业和技术上都不再适用。为应对这些发展趋势,汽车SerDes联盟(ASA)于 2019 年成立,并发布了首个开放标准的 ASA Motion Link(ASA-ML)规范。

1713781359952312.jpg

Microchip负责汽车产品、网络和数据中心业务部的高级副总裁Mitch Obolsky表示:“此次收购为Microchip广泛的车联网产品组合带来了VSI专业技术团队、市场影响力以及ASA Motion Link技术和产品,从而更好地服务于我们关注的ADAS行业大趋势。随着业界围绕以太网、PCIe®和ASA Motion Link这三大IVN支柱进行融合,摄像头和显示器连接成为增长最快、规模最大的IVN市场之一。收购VSI后,Microchip现在可以提供涵盖所有三大支柱的产品,还能为客户提供汽车安全、单片机、电机控制、触屏和电源管理解决方案,以支持他们的下一代软件定义汽车架构。”

目前,ASA 拥有超过145个成员,其中包括作为发起成员的 Microchip。该联盟拥有包括宝马、通用、福特、Stellantis 和现代-起亚汽车公司在内的11家汽车制造商,还包括从一级供应商、半导体和成像仪供应商到测试和合规公司的生态系统。ASA-ML除了是一项开放标准外,还具有链路层安全性和可扩展性,可支持2 Gbps 至 16 Gbps 的线路速率。此外,即将进行的规范更新将使ASA-ML能够支持基于以太网的架构。

VSI Co. Ltd.首席执行官Steve Kang表示:“Microchip Technology是车联网领域公认的、值得信赖的市场领导者,以高品质和强大的供应链而闻名,我们的团队很高兴能加入他们,共同开拓不断增长的ADAS和数字驾驶舱连接市场。VSI是ASA-ML产品开发领域的领导者,也是首家向市场推出相关产品的公司。我们符合标准的芯片组正在接受全球汽车制造商的评估。我们最近与宝马合作进行了一次概念验证,以展示 ASA-ML和我们可直接用于生产的产品。此次收购让两家共同致力于通过创新推动技术发展的公司融为一体。我们期待在未来数年成功地将我们的解决方案部署到量产车。”

2024年3月,宝马集团在慕尼黑举行的汽车以太网大会上宣布,将在即将投产的产品中使用标准化ASA-ML。宝马一直走在车载网络创新的前沿,并坚信在车辆架构和视频架构中利用标准化技术的重要性。


[1]   来源:用于汽车的激光雷达- 用于汽车的雷达-摄像头行业现状- Yole Intelligence,2023 年。

]]>
http://www.amcfsurvey.com/article/202404/457928.htm Mon, 22 Apr 2024 18:22:22 +0800
<![CDATA[ e络盟社区针对工业5.0议题发起民意调查 ]]> 安富利旗下全球电子元器件产品与解决方案分销商e络盟近期在其e络盟社区发起了一项民意调查,评估业界目前对工业4.0的下一阶段——“工业5.0”的看法。工业5.0是一个概念,指机器人和其他机器将会很快利用物联网和大数据,实现与人类协作。

e络盟社区调查结果提供了许多有价值的见解。总体结果表明,虽然工业5.0是一个有效的概念,但有些人认为它还为时过早。

1713780752392504.png

例如,虽然一小部分受访者称他们在某种程度上已经参与了工业5.0计划,但有25%的受访者认为未来三到五年内不会有所改变。

有一半的受访者则认为,工业5.0是一个可能永远也不会像目前设想的那样完全被采用的概念,因为这一设想没有充分考虑到工业4.0的现实情况。也就是说,工业4.0仍然处于相对起步阶段,制造商正在学习如何利用数据分析、人工智能和机器学习的优势,扩大和转型其运营。工业4.0正在以相对较快的速度发展,但它还有很长的路要走。

e络盟产品营销和e络盟社区全球总监Andreea Teodorescu表示:“我们很高兴,与大家分享e络盟社区近期发起的这项与工业5.0有关的民意调查结果。虽然这个概念显示出广阔的前景,但大家的观点不一,有些人认为广泛应用工业5.0可能还为时尚早。25%的受访者预计过渡时间为三到五年,其他人对其可行性表示怀疑。很明显,工业4.0仍是大多数人关注的焦点。”

一直以来,e络盟从未停止为工业4.0领域提供支持。因为向数字化的持续转型将继续改变现代工业,及尚未明确定义的未来工业。

e络盟拥有来自全球领先制造商的广泛工业自动化与控制产品,可满足在这两个新工业时代处于领先地位的开发工程师的所有需求,甚至超越他们的期望。e络盟现货供应这些产品,并提供随时发货服务。

]]>
安富利旗下全球电子元器件产品与解决方案分销商e络盟近期在其e络盟社区发起了一项民意调查,评估业界目前对工业4.0的下一阶段——“工业5.0”的看法。工业5.0是一个概念,指机器人和其他机器将会很快利用物联网和大数据,实现与人类协作。

e络盟社区调查结果提供了许多有价值的见解。总体结果表明,虽然工业5.0是一个有效的概念,但有些人认为它还为时过早。

1713780752392504.png

例如,虽然一小部分受访者称他们在某种程度上已经参与了工业5.0计划,但有25%的受访者认为未来三到五年内不会有所改变。

有一半的受访者则认为,工业5.0是一个可能永远也不会像目前设想的那样完全被采用的概念,因为这一设想没有充分考虑到工业4.0的现实情况。也就是说,工业4.0仍然处于相对起步阶段,制造商正在学习如何利用数据分析、人工智能和机器学习的优势,扩大和转型其运营。工业4.0正在以相对较快的速度发展,但它还有很长的路要走。

e络盟产品营销和e络盟社区全球总监Andreea Teodorescu表示:“我们很高兴,与大家分享e络盟社区近期发起的这项与工业5.0有关的民意调查结果。虽然这个概念显示出广阔的前景,但大家的观点不一,有些人认为广泛应用工业5.0可能还为时尚早。25%的受访者预计过渡时间为三到五年,其他人对其可行性表示怀疑。很明显,工业4.0仍是大多数人关注的焦点。”

一直以来,e络盟从未停止为工业4.0领域提供支持。因为向数字化的持续转型将继续改变现代工业,及尚未明确定义的未来工业。

e络盟拥有来自全球领先制造商的广泛工业自动化与控制产品,可满足在这两个新工业时代处于领先地位的开发工程师的所有需求,甚至超越他们的期望。e络盟现货供应这些产品,并提供随时发货服务。

]]>
http://www.amcfsurvey.com/article/202404/457927.htm Mon, 22 Apr 2024 18:11:31 +0800
<![CDATA[ 罗克韦尔自动化携各界伙伴共同发起,首届上海气候周于申城盛大开幕 ]]> 作为工业自动化、信息化和数字化转型领域的全球领先企业之一,罗克韦尔自动化响应由百余家全球伙伴共同发起的首届上海气候周于今日在上海浦东美术馆正式开幕。首届上海气候周为期五天,其中,气候公园系列活动将汇集气候灯塔、气候技术、气候健康等在内的多维议题。作为气候灯塔的倡议发起单位之一,罗克韦尔也将凭借自身在智能制造和绿色科技领域的百年积淀,为首届上海气候周增添制造业数字化绿色化协同转型这一关键视角,进而与国内外伙伴共塑覆盖多元行业的社区合力,共绘绿色篇章。

以“中国行动、亚洲声音、世界标准”为宗旨,上海气候周是由政、商、学、社各类组织共同参与、联合打造的全球性气候变化交流与合作平台。开幕当日,上海气候周2024开幕暨绿碳亚洲论坛2024年会、美丽中国论坛、北欧气候论坛等活动相继开展,并为后续更多跨界活动揭开序章。

1713780570718212.jpg

罗克韦尔自动化(中国)有限公司总裁石安于上海气候周开幕式致辞

作为首届上海气候周的重要行动项目之一,气候灯塔点亮仪式暨主题论坛将于4月23日在西岸漩心Orbit举办。此次活动由上海气候周、罗克韦尔倡议发起,临港集团、香港置地、戴德梁行、德勤等联合主办,聚焦气候灯塔楼宇、社区、制造和供应链四大领域,旨在以绿色数智创新,点亮人类美好未来。活动期间,罗克韦尔将携手各界伙伴于现场发布《“气候灯塔”新篇章:气候变化前沿科技最新洞见》,并开展气候灯塔用例颁奖、战略签约、专题讨论等一系列环节,与到场嘉宾共商气候灯塔构筑路径,碰撞多行业多视角的绿色灵感。

“全球范围内的绿色未来需要各方奋楫笃行,此次上海气候周便是各方跨界、携手前行的重要实践之一。”在谈及罗克韦尔加入首届上海气候周的初衷时,罗克韦尔自动化(中国)有限公司总裁石安表示,“罗克韦尔始终将SEEE(安全、节能、环保、高效)可持续发展观全面贯彻于智能制造。我们期待借助上海气候周这一平台的聚集效应,并透过气候灯塔行动的标杆性领航力,进一步发挥生产性服务业链主的引领作用,与更多生态伙伴携手共塑绿色跨界生态,共促贯通产业链上下游的绿色低碳转型,引领未来无限可能。”

]]>
作为工业自动化、信息化和数字化转型领域的全球领先企业之一,罗克韦尔自动化响应由百余家全球伙伴共同发起的首届上海气候周于今日在上海浦东美术馆正式开幕。首届上海气候周为期五天,其中,气候公园系列活动将汇集气候灯塔、气候技术、气候健康等在内的多维议题。作为气候灯塔的倡议发起单位之一,罗克韦尔也将凭借自身在智能制造和绿色科技领域的百年积淀,为首届上海气候周增添制造业数字化绿色化协同转型这一关键视角,进而与国内外伙伴共塑覆盖多元行业的社区合力,共绘绿色篇章。

以“中国行动、亚洲声音、世界标准”为宗旨,上海气候周是由政、商、学、社各类组织共同参与、联合打造的全球性气候变化交流与合作平台。开幕当日,上海气候周2024开幕暨绿碳亚洲论坛2024年会、美丽中国论坛、北欧气候论坛等活动相继开展,并为后续更多跨界活动揭开序章。

1713780570718212.jpg

罗克韦尔自动化(中国)有限公司总裁石安于上海气候周开幕式致辞

作为首届上海气候周的重要行动项目之一,气候灯塔点亮仪式暨主题论坛将于4月23日在西岸漩心Orbit举办。此次活动由上海气候周、罗克韦尔倡议发起,临港集团、香港置地、戴德梁行、德勤等联合主办,聚焦气候灯塔楼宇、社区、制造和供应链四大领域,旨在以绿色数智创新,点亮人类美好未来。活动期间,罗克韦尔将携手各界伙伴于现场发布《“气候灯塔”新篇章:气候变化前沿科技最新洞见》,并开展气候灯塔用例颁奖、战略签约、专题讨论等一系列环节,与到场嘉宾共商气候灯塔构筑路径,碰撞多行业多视角的绿色灵感。

“全球范围内的绿色未来需要各方奋楫笃行,此次上海气候周便是各方跨界、携手前行的重要实践之一。”在谈及罗克韦尔加入首届上海气候周的初衷时,罗克韦尔自动化(中国)有限公司总裁石安表示,“罗克韦尔始终将SEEE(安全、节能、环保、高效)可持续发展观全面贯彻于智能制造。我们期待借助上海气候周这一平台的聚集效应,并透过气候灯塔行动的标杆性领航力,进一步发挥生产性服务业链主的引领作用,与更多生态伙伴携手共塑绿色跨界生态,共促贯通产业链上下游的绿色低碳转型,引领未来无限可能。”

]]>
http://www.amcfsurvey.com/article/202404/457926.htm Mon, 22 Apr 2024 18:09:17 +0800
<![CDATA[ 红狮控制产品荣获CAIAC 2023年度 “最具竞争力创新产品奖” ]]> 工业领域访问、连接和数据可视化创新技术的领先制造商红狮控制公司近日宣布其FlexEdge® DA70智能边缘控制器于近日荣获2024中国自动化产业年会(CAIAC 2024)“2023中国自动化领域年度最具竞争力创新产品”奖项。作为业内首屈一指的年度盛会,中国自动化产业年会已历经十九年的发展,旨在铭记和展望中国自动化产业发展历程,总结和表彰为中国自动化产业的发展做出重要贡献的企业,全面展示不断革新的自动化产品。

1713777946182193.jpg

红狮控制FlexEdge DA70荣获CAIAC 2023年度“最具竞争力创新产品奖”

红狮控制的FlexEdge作为高度可扩展的工业物联网(IIoT)平台,能够帮助企业借助其高级联网、安全和自动化功能,无缝连接现有设备和新设备,以稳定、强大的可扩展性满足各种业务需求变化。其中FlexEdge DA70是一款一体化工业数据采集和边缘处理网关,不仅拥有先进的架构,同时具备优异的集成能力和设计。用户只需点击即可轻松将工业资产连接到SCADA、DCS或云平台,从而在加快IIoT、互联工厂和工业4.0计划的实施的同时,简化对互联资产及其过程的监测和管理。此外,其坚固耐用且可现场安装的PID控制和I/O模块可确保该解决方案能满足各种工业应用需求,且用户可以通过直观的LED状态指示环进行简单、直接的故障诊断,实现更加高效的维护。除了工业物联网和工厂自动化之外,该产品还广泛适用于石油和天然气、电力和公共事业、水/废水处理和交通运输等领域。

image003(04-22-17-18-48).png

红狮控制FlexEdge DA70

红狮控制专注工业数据,致力于为客户提供易用、可靠且具备丰富功能的产品,从而将数据转化为生产力。未来,红狮控制将始终坚持以客户需求为原点,持续创新,以前沿的产品和解决方案赋能客户进行工业智能化、数字化转型升级,在不断变化的市场需求中保持竞争优势。

此次中国自动化产业年会评选活动历时3个多月,经过入围推荐、专家评审(包括自动化领域的知名专家、行业协会、用户企业和主流媒体等)、网上投票等流程,共有49款产品入围“2023中国自动化领域年度最具竞争力创新产品”奖项,每一款产品均在产品的功能、创新性、实用性和开放性等方面独具特色。

]]>
工业领域访问、连接和数据可视化创新技术的领先制造商红狮控制公司近日宣布其FlexEdge® DA70智能边缘控制器于近日荣获2024中国自动化产业年会(CAIAC 2024)“2023中国自动化领域年度最具竞争力创新产品”奖项。作为业内首屈一指的年度盛会,中国自动化产业年会已历经十九年的发展,旨在铭记和展望中国自动化产业发展历程,总结和表彰为中国自动化产业的发展做出重要贡献的企业,全面展示不断革新的自动化产品。

1713777946182193.jpg

红狮控制FlexEdge DA70荣获CAIAC 2023年度“最具竞争力创新产品奖”

红狮控制的FlexEdge作为高度可扩展的工业物联网(IIoT)平台,能够帮助企业借助其高级联网、安全和自动化功能,无缝连接现有设备和新设备,以稳定、强大的可扩展性满足各种业务需求变化。其中FlexEdge DA70是一款一体化工业数据采集和边缘处理网关,不仅拥有先进的架构,同时具备优异的集成能力和设计。用户只需点击即可轻松将工业资产连接到SCADA、DCS或云平台,从而在加快IIoT、互联工厂和工业4.0计划的实施的同时,简化对互联资产及其过程的监测和管理。此外,其坚固耐用且可现场安装的PID控制和I/O模块可确保该解决方案能满足各种工业应用需求,且用户可以通过直观的LED状态指示环进行简单、直接的故障诊断,实现更加高效的维护。除了工业物联网和工厂自动化之外,该产品还广泛适用于石油和天然气、电力和公共事业、水/废水处理和交通运输等领域。

image003(04-22-17-18-48).png

红狮控制FlexEdge DA70

红狮控制专注工业数据,致力于为客户提供易用、可靠且具备丰富功能的产品,从而将数据转化为生产力。未来,红狮控制将始终坚持以客户需求为原点,持续创新,以前沿的产品和解决方案赋能客户进行工业智能化、数字化转型升级,在不断变化的市场需求中保持竞争优势。

此次中国自动化产业年会评选活动历时3个多月,经过入围推荐、专家评审(包括自动化领域的知名专家、行业协会、用户企业和主流媒体等)、网上投票等流程,共有49款产品入围“2023中国自动化领域年度最具竞争力创新产品”奖项,每一款产品均在产品的功能、创新性、实用性和开放性等方面独具特色。

]]>
http://www.amcfsurvey.com/article/202404/457925.htm Mon, 22 Apr 2024 17:25:15 +0800
<![CDATA[ 半导体已经成为美国与俄罗斯之间以及美国与中国之间不断升级的冷战的关键战场 ]]> 如今,芯片对几乎每一种类型的技术都至关重要。但是,尽管美国科技公司设计了世界上最先进的芯片,但实际上几乎没有一种芯片是在美国制造的。几乎所有芯片都来自台湾。52岁的商务部长吉娜·雷蒙多表示,在半导体的案例中,市场未能把握准确。几乎所有美国的先进芯片都是在台湾生产的,这可能构成国家安全威胁。

“我们允许这个国家的制造业在亚洲寻找更便宜的劳动力、更便宜的资本,而自己的制造业却在衰落,现在我们就是这样了,”她说。“我们只是追求利润,而不是国家安全。”

半导体和俄罗斯2022年俄罗斯入侵乌克兰后,全球芯片战加剧。雷蒙多表示,美国商务部扩大了出口管制,以阻止用于俄罗斯的美国半导体技术,这些技术用于无人机、导弹和坦克。

在2022年的一次国会听证会上,雷蒙多表示,俄罗斯已经开始使用来自洗碗机和冰箱的半导体来制造军事装备。在战争进行了两年多后,俄罗斯人仍在设法解决半导体问题。

“我们的出口管制绝对影响了他们进行战争的能力,使之变得更加困难,”雷蒙多说。“我们每天、每分钟都在执行这项政策,尽一切努力。”

商务部工业与安全局监控和监管全球任何一家公司向俄罗斯销售带有美国芯片的产品的禁令。

半导体和中国中国与美国之间的紧张局势也在进一步升级,原因是获取先进微芯片的问题。

2022年10月,美国实施了出口管制措施,以阻止美国技术进入中国。这些限制措施主要针对先进半导体和芯片制造设备,并于一年后加强。拜登总统今年在国情咨文中谈到了这一问题。

“我确保了美国最先进的技术不能用于中国,”他说。

中国警告称,这些出口管制措施可能引发一场逐步升级的贸易战。

根据美国贸易代表办公室的数据,2022年中国是美国商品的最大供应国,也是美国出口的第三大采购国。如果两国之间的贸易中断,大约有75万美国人将失业。

“我们希望与中国进行绝大多数商品和服务的贸易。但对于那些影响我们国家安全的技术,是不行的,”雷蒙多说。

虽然高端微芯片用于一些消费品,但它们也用于核武器和监视系统中。

“我们知道他们想要这些芯片和我们先进的技术来推动他们的军事发展,”雷蒙多说。

她对这个话题的坚定态度使她成为中国的一个目标,那里的假广告显示她在推广一款中国制造的智能手机。去年,北京政府黑客攻击了雷蒙多的电子邮件。

当雷蒙多去年访问中国时,科技公司华为推出了一款新的智能手机,搭载了一款先进的中国制造芯片。雷蒙多表示,中国芯片比美国的要落后几年,这表明出口管制措施正在发挥作用。

“我们拥有世界上最先进的半导体。中国没有,”她说。“我们已经在创新方面超过了中国。”

半导体生产的外包美国可能在创新方面超过了中国,但毫无疑问,台湾在其中发挥了极其重要的作用。90%的先进芯片是在台湾制造的,而近年来台湾受到了中国的威胁。

中国曾威胁要入侵台湾,这可能会切断美国获取台湾制造的芯片的途径。

“这是一个问题,”雷蒙多说。“这是一个风险。这使我们变得脆弱。”

雷蒙多从小就亲眼见证了当工作被外包时会发生什么。她的父亲在1983年前后在罗得岛的布洛瓦手表工厂工作了将近30年,但该公司在1983年放弃了工厂,并将其业务转移到了中国。

这影响了她的职业选择,从在哈佛大学学习经济学到辞去一份高薪的风险投资人的工作,去竞选罗得岛州的公职,成为罗得岛州的财政厅长,后来成为该州的第一位女州长。

让工作和制造业回到美国2020年底,时任总统当选人的拜登给雷蒙多打了电话,希望她能够领导商务部。在那之前,商务部管理着各种各样的机构和任务,但并没有引起太多的轰动或头条新闻,范围从监测天气到测量家庭灰尘中的污染物含量。

一旦进入商务部,雷蒙多就开始依赖国会为她的1000亿美元项目提供资金,包括2022年的两党合作的CHIPS和科学法案的500亿美元。这项法案目前正在实施,旨在减少美国对台湾的依赖。

上个月在亚利桑那州,雷蒙多宣布了她对在美国制造最先进芯片的第一个奖励,授予英特尔公司。拜登政府表示,这项协议将为英特尔提供高达85亿美元的直接资金和110亿美元的贷款,用于亚利桑那州、俄亥俄州、新墨西哥州和俄勒冈州的计算机芯片设施。

她还提供了其他两个大奖,总额为130亿美元,分别授予台湾的台积电和韩国的三星,以在亚利桑那州和德克萨斯州制造世界上最先进的芯片。

雷蒙多还专注于另一个巨大的计划,即Internet For All计划,旨在连接数百万美国人(主要是在农村地区),他们无法接入高速互联网。她表示,Internet For All和CHIPS法案倡议将在2030年创造约50万个就业机会。

在她在华盛顿的三年里,雷蒙多将商务部及其部长提升为高调的参与者。风险很高。

“中国每天都在想方设法绕过我们的法规,”雷蒙多说。“我们必须每天都更加坚决和积极地行动。”

]]>
如今,芯片对几乎每一种类型的技术都至关重要。但是,尽管美国科技公司设计了世界上最先进的芯片,但实际上几乎没有一种芯片是在美国制造的。几乎所有芯片都来自台湾。52岁的商务部长吉娜·雷蒙多表示,在半导体的案例中,市场未能把握准确。几乎所有美国的先进芯片都是在台湾生产的,这可能构成国家安全威胁。

“我们允许这个国家的制造业在亚洲寻找更便宜的劳动力、更便宜的资本,而自己的制造业却在衰落,现在我们就是这样了,”她说。“我们只是追求利润,而不是国家安全。”

半导体和俄罗斯2022年俄罗斯入侵乌克兰后,全球芯片战加剧。雷蒙多表示,美国商务部扩大了出口管制,以阻止用于俄罗斯的美国半导体技术,这些技术用于无人机、导弹和坦克。

在2022年的一次国会听证会上,雷蒙多表示,俄罗斯已经开始使用来自洗碗机和冰箱的半导体来制造军事装备。在战争进行了两年多后,俄罗斯人仍在设法解决半导体问题。

“我们的出口管制绝对影响了他们进行战争的能力,使之变得更加困难,”雷蒙多说。“我们每天、每分钟都在执行这项政策,尽一切努力。”

商务部工业与安全局监控和监管全球任何一家公司向俄罗斯销售带有美国芯片的产品的禁令。

半导体和中国中国与美国之间的紧张局势也在进一步升级,原因是获取先进微芯片的问题。

2022年10月,美国实施了出口管制措施,以阻止美国技术进入中国。这些限制措施主要针对先进半导体和芯片制造设备,并于一年后加强。拜登总统今年在国情咨文中谈到了这一问题。

“我确保了美国最先进的技术不能用于中国,”他说。

中国警告称,这些出口管制措施可能引发一场逐步升级的贸易战。

根据美国贸易代表办公室的数据,2022年中国是美国商品的最大供应国,也是美国出口的第三大采购国。如果两国之间的贸易中断,大约有75万美国人将失业。

“我们希望与中国进行绝大多数商品和服务的贸易。但对于那些影响我们国家安全的技术,是不行的,”雷蒙多说。

虽然高端微芯片用于一些消费品,但它们也用于核武器和监视系统中。

“我们知道他们想要这些芯片和我们先进的技术来推动他们的军事发展,”雷蒙多说。

她对这个话题的坚定态度使她成为中国的一个目标,那里的假广告显示她在推广一款中国制造的智能手机。去年,北京政府黑客攻击了雷蒙多的电子邮件。

当雷蒙多去年访问中国时,科技公司华为推出了一款新的智能手机,搭载了一款先进的中国制造芯片。雷蒙多表示,中国芯片比美国的要落后几年,这表明出口管制措施正在发挥作用。

“我们拥有世界上最先进的半导体。中国没有,”她说。“我们已经在创新方面超过了中国。”

半导体生产的外包美国可能在创新方面超过了中国,但毫无疑问,台湾在其中发挥了极其重要的作用。90%的先进芯片是在台湾制造的,而近年来台湾受到了中国的威胁。

中国曾威胁要入侵台湾,这可能会切断美国获取台湾制造的芯片的途径。

“这是一个问题,”雷蒙多说。“这是一个风险。这使我们变得脆弱。”

雷蒙多从小就亲眼见证了当工作被外包时会发生什么。她的父亲在1983年前后在罗得岛的布洛瓦手表工厂工作了将近30年,但该公司在1983年放弃了工厂,并将其业务转移到了中国。

这影响了她的职业选择,从在哈佛大学学习经济学到辞去一份高薪的风险投资人的工作,去竞选罗得岛州的公职,成为罗得岛州的财政厅长,后来成为该州的第一位女州长。

让工作和制造业回到美国2020年底,时任总统当选人的拜登给雷蒙多打了电话,希望她能够领导商务部。在那之前,商务部管理着各种各样的机构和任务,但并没有引起太多的轰动或头条新闻,范围从监测天气到测量家庭灰尘中的污染物含量。

一旦进入商务部,雷蒙多就开始依赖国会为她的1000亿美元项目提供资金,包括2022年的两党合作的CHIPS和科学法案的500亿美元。这项法案目前正在实施,旨在减少美国对台湾的依赖。

上个月在亚利桑那州,雷蒙多宣布了她对在美国制造最先进芯片的第一个奖励,授予英特尔公司。拜登政府表示,这项协议将为英特尔提供高达85亿美元的直接资金和110亿美元的贷款,用于亚利桑那州、俄亥俄州、新墨西哥州和俄勒冈州的计算机芯片设施。

她还提供了其他两个大奖,总额为130亿美元,分别授予台湾的台积电和韩国的三星,以在亚利桑那州和德克萨斯州制造世界上最先进的芯片。

雷蒙多还专注于另一个巨大的计划,即Internet For All计划,旨在连接数百万美国人(主要是在农村地区),他们无法接入高速互联网。她表示,Internet For All和CHIPS法案倡议将在2030年创造约50万个就业机会。

在她在华盛顿的三年里,雷蒙多将商务部及其部长提升为高调的参与者。风险很高。

“中国每天都在想方设法绕过我们的法规,”雷蒙多说。“我们必须每天都更加坚决和积极地行动。”

]]>
http://www.amcfsurvey.com/article/202404/457924.htm Mon, 22 Apr 2024 16:53:42 +0800
<![CDATA[ 2024 一季度全球 AMOLED 手机面板出货量同比增长 44.6%,国内厂商份额占比 53.4% ]]> IT之家 4 月 22 日消息,根据 CINNO Research 统计数据显示,2024 年第一季度全球 AMOLED 智能手机面板出货量约 1.9 亿片,同比增长 44.6%,环比下滑 18.8%。

图片

数据显示,分地区来看,韩国地区份额缩窄至 46.6%;国内厂商出货份额占比 53.4%,同比增加 15.6 个百分点,环比增加 8.5 个百分点,份额首次超越五成。

IT之家注意到,三星显示(SDC)AMOLED 智能手机面板出货量同比增长 11.1%,市场份额由去年同期的 53.3% 缩窄至 41.0%,市场份额同比下滑 12.3 个百分点,份额降至仅四成,其对苹果和三星手机的依赖度越来越深,其他国产安卓品牌订单持续转出。

京东方(BOE)AMOLED 智能手机面板出货量同比增长 18.8%,市场份额同比下降 3.8 个百分点至 17.5%,尽管份额有所下降,但京东方作为国内面板领军企业,其出货量优势明显,尤其在 LTPO 手机面板出货方面遥遥领先其他国产厂商。

维信诺(Visionox)AMOLED 智能手机面板出货量同比大幅增长 232.4%,市场份额同比上升 6.5 个百分点至 11.6%,位居全球第三,国内第二,对比其他国内厂商,维信诺稼动率处于上游水平,其各产线均维持较高稼动率水平。

华星(CSOT)AMOLED 智能手机面板出货量同比大幅增长 294.0%,市场份额同比上升 6.4 个百分点至 10.1%,出货量同比增长最大,位居全球第四、国内第三。


]]>
IT之家 4 月 22 日消息,根据 CINNO Research 统计数据显示,2024 年第一季度全球 AMOLED 智能手机面板出货量约 1.9 亿片,同比增长 44.6%,环比下滑 18.8%。

图片

数据显示,分地区来看,韩国地区份额缩窄至 46.6%;国内厂商出货份额占比 53.4%,同比增加 15.6 个百分点,环比增加 8.5 个百分点,份额首次超越五成。

IT之家注意到,三星显示(SDC)AMOLED 智能手机面板出货量同比增长 11.1%,市场份额由去年同期的 53.3% 缩窄至 41.0%,市场份额同比下滑 12.3 个百分点,份额降至仅四成,其对苹果和三星手机的依赖度越来越深,其他国产安卓品牌订单持续转出。

京东方(BOE)AMOLED 智能手机面板出货量同比增长 18.8%,市场份额同比下降 3.8 个百分点至 17.5%,尽管份额有所下降,但京东方作为国内面板领军企业,其出货量优势明显,尤其在 LTPO 手机面板出货方面遥遥领先其他国产厂商。

维信诺(Visionox)AMOLED 智能手机面板出货量同比大幅增长 232.4%,市场份额同比上升 6.5 个百分点至 11.6%,位居全球第三,国内第二,对比其他国内厂商,维信诺稼动率处于上游水平,其各产线均维持较高稼动率水平。

华星(CSOT)AMOLED 智能手机面板出货量同比大幅增长 294.0%,市场份额同比上升 6.4 个百分点至 10.1%,出货量同比增长最大,位居全球第四、国内第三。


]]>
http://www.amcfsurvey.com/article/202404/457923.htm Mon, 22 Apr 2024 16:30:44 +0800
<![CDATA[ 又开始搞事情?美国欲对成熟制程芯片进行调查 ]]> 最近几年,我国在国际半导体产业中的地位不断上升,尤其是在成熟工艺芯片领域。根据数据,中国的成熟工艺芯片产能已占全球份额的29%,在中国国崛起的同时,大洋彼岸的美国人坐不住了,今年年初,面对中国在28nm及成熟芯片的重要力量地位,美国众议院的两党领导人呼吁采取更强有力的行动,提出加征关税等措施,以遏制中国在该领域的主导地位。

不光是进口,在出口方面,美国也一直在做手脚,在美国实施的芯片禁令中,大部分都是针对高端制程,从ASML的EUV光刻机到英伟达的A100芯片,清一色属于高端产品。而14nm,28nm等成熟制程产品,美国却允许出口。

这说明什么?只能说明美国是想利用中低端产品赚钱,又不希望对手利用高端技术突破。但一则消息传来,就有媒体为这举措起了一个非常醒目的题目:盯上成熟芯片,美方限制被逼急了。

美国靠销售芯片占据全球一半左右的市场份额,高通、英伟达、英特尔、AMD能成为世界级巨头就是因为芯片卖得好,赚大钱了才能持续投入研发,从而设计出更好的芯片,进一步提高市场影响力。美国深知个中道理,自然不会一杆子打死,让人没想到的是,美国开始把目光放在成熟芯片了。

其实到这里,我相信很多朋友会说,成熟芯片当然不用管控了,也没什么意义,毕竟成熟就代表着落后,拿着别人淘汰的芯片,也没什么值得高兴的。

其实不是,我认为大家忽略了成熟芯片的重要性,成熟芯片经过长时间的研发和改进,其性能和稳定性得到了充分验证和测试。并且相比于新的高端芯片技术,成熟芯片有更低的风险和更牛的可靠性,能够满足不同领域和应用的需求。而且成熟芯片的生产成本相对较低,适用性强,各行各业都有大规模应用。

        即便是美国也非常依赖成熟芯片,但是现在中国变成了成熟芯片产能大国,巴克莱分析师的研究报告指出,根据中国本土制造商的计划,未来五到七年内,中国的芯片产能将增长一倍以上,这样一来,美国便开始坐不住了。

       然而就在前几天,美国和欧盟开了一个名为“贸易与技术委员会会议”,并发布了一份长达12页的联合声明,其中半导体无疑又成为重点。而这一次的联合声明,双方在以下两项行政安排下进行了合作:

       旨在识别(潜在)供应链中断并尽早采取行动解决其影响的联合预警机制,事实证明该机制在监测镓和锗市场的发展方面非常有用;

        建立一种透明机制,用于相互共享有关向半导体行业提供的公共支持的信息。

1713773109809.jpg


在此次会议中,美国与欧盟宣布将合作应对半导体产业中的干预活动延长3年,特别是在“传统芯片”领域,双方将共同应对中国。这表明美欧在半导体领域的合作不仅限于调查和采取措施,还包括对抗可能的外部干预。

最近这些年,我国一直在大力发展28nm左右的成熟制程,已经实现100%纯国产化的成熟工艺。另外,美国对外称,因为在过去十年,我国大力支持半导体产业,所以给美国和其他国家创造了“不公平的全球竞争环境”。而这项调查,有助于促进传统芯片生产的公平竞争。我觉得,论公平,美国如果敢说第二,其余国家那谁敢说自己是第一?

       不管怎样,美西方开始对成熟工艺半导体紧张了起来。在联合声明中还宣称,建立有弹性的半导体供应链是至关重要,还要确保在尖端技术方面的领先地位。但我觉得这句话它就有问题,保持尖端技术的领先可以理解,但你保持领先应该是积极创新,提升自己的研发技术及资金投入,而不是用打压其它国家来确保自己领先,这不就像短跑比赛不提升自己反而给对手脚下放石头一样吗?而且现在苹果每年的新品都被别人诟病“挤牙膏”,但华为年年有技术创新,这可以说美国自己停滞不前,还不许别人进步,这是什么道理和逻辑?

再说说镓和锗这两种材料,说是减少外部依赖,这很难做到吧?又不是碳和铁,到处都是,依赖美国自给自足我个人认为不太现实,并且芯片方面,中国制造的芯片,基本上都是国内自销了,一颗不出口都不够用,哪还会出口。当然了,可以选择不进口搭载了中国芯片的产品,但全世界最大的电子产品生产基地就在中国,人力物力财力,美国和欧洲应该在目前也没有这么大的储备去做这件事,所以,这份申明的实施还是困难重重。

值得一提的是,美欧双方还承诺联手展开研究,寻找芯片中含氟表面活性剂(Per- and polyfluoroalkyl substances,简称PFAS)的替代品(这种化学物质不易分解,研究表明有害人体健康。)例如,计划探索利用人工智能能力和数字孪生来加速发现合适的材料来替代半导体制造中的PFAS。

目前,美国和欧盟都在寻求对PFAS的替代方案,通过立法、研究合作以及推动技术创新等多种方式,以减少这些化学品对环境和人类健康的影响。2024年2月29日,欧盟REACH委员会再次投票通过关于在REACH法规附录XVII中限制全氟己酸(PFHxA)及其盐和相关物质的提案,这表明欧盟对PFAS的管控进一步升级。

虽然美国的手段步步紧逼,但目前成熟半导体技术在新能源汽车、新一代通信技术、安防、云计算、智能家居、物联网、工业互联网、智能电网以及人工智能和大数据等多个领域都有广泛的应用。这些应用领域的快速发展,进一步推动了成熟制程芯片的需求增长。而以上应用领域也是中国比较具有优势的产业,可以实现产业内循环消化。

 我相信面对如此情景,中国一定有相应的应对措施,也希望中国在技术方面能够多一些像华为这种公司,在技术层面不断创新,打破国外垄断并凤凰涅槃!


]]>
最近几年,我国在国际半导体产业中的地位不断上升,尤其是在成熟工艺芯片领域。根据数据,中国的成熟工艺芯片产能已占全球份额的29%,在中国国崛起的同时,大洋彼岸的美国人坐不住了,今年年初,面对中国在28nm及成熟芯片的重要力量地位,美国众议院的两党领导人呼吁采取更强有力的行动,提出加征关税等措施,以遏制中国在该领域的主导地位。

不光是进口,在出口方面,美国也一直在做手脚,在美国实施的芯片禁令中,大部分都是针对高端制程,从ASML的EUV光刻机到英伟达的A100芯片,清一色属于高端产品。而14nm,28nm等成熟制程产品,美国却允许出口。

这说明什么?只能说明美国是想利用中低端产品赚钱,又不希望对手利用高端技术突破。但一则消息传来,就有媒体为这举措起了一个非常醒目的题目:盯上成熟芯片,美方限制被逼急了。

美国靠销售芯片占据全球一半左右的市场份额,高通、英伟达、英特尔、AMD能成为世界级巨头就是因为芯片卖得好,赚大钱了才能持续投入研发,从而设计出更好的芯片,进一步提高市场影响力。美国深知个中道理,自然不会一杆子打死,让人没想到的是,美国开始把目光放在成熟芯片了。

其实到这里,我相信很多朋友会说,成熟芯片当然不用管控了,也没什么意义,毕竟成熟就代表着落后,拿着别人淘汰的芯片,也没什么值得高兴的。

其实不是,我认为大家忽略了成熟芯片的重要性,成熟芯片经过长时间的研发和改进,其性能和稳定性得到了充分验证和测试。并且相比于新的高端芯片技术,成熟芯片有更低的风险和更牛的可靠性,能够满足不同领域和应用的需求。而且成熟芯片的生产成本相对较低,适用性强,各行各业都有大规模应用。

        即便是美国也非常依赖成熟芯片,但是现在中国变成了成熟芯片产能大国,巴克莱分析师的研究报告指出,根据中国本土制造商的计划,未来五到七年内,中国的芯片产能将增长一倍以上,这样一来,美国便开始坐不住了。

       然而就在前几天,美国和欧盟开了一个名为“贸易与技术委员会会议”,并发布了一份长达12页的联合声明,其中半导体无疑又成为重点。而这一次的联合声明,双方在以下两项行政安排下进行了合作:

       旨在识别(潜在)供应链中断并尽早采取行动解决其影响的联合预警机制,事实证明该机制在监测镓和锗市场的发展方面非常有用;

        建立一种透明机制,用于相互共享有关向半导体行业提供的公共支持的信息。

1713773109809.jpg


在此次会议中,美国与欧盟宣布将合作应对半导体产业中的干预活动延长3年,特别是在“传统芯片”领域,双方将共同应对中国。这表明美欧在半导体领域的合作不仅限于调查和采取措施,还包括对抗可能的外部干预。

最近这些年,我国一直在大力发展28nm左右的成熟制程,已经实现100%纯国产化的成熟工艺。另外,美国对外称,因为在过去十年,我国大力支持半导体产业,所以给美国和其他国家创造了“不公平的全球竞争环境”。而这项调查,有助于促进传统芯片生产的公平竞争。我觉得,论公平,美国如果敢说第二,其余国家那谁敢说自己是第一?

       不管怎样,美西方开始对成熟工艺半导体紧张了起来。在联合声明中还宣称,建立有弹性的半导体供应链是至关重要,还要确保在尖端技术方面的领先地位。但我觉得这句话它就有问题,保持尖端技术的领先可以理解,但你保持领先应该是积极创新,提升自己的研发技术及资金投入,而不是用打压其它国家来确保自己领先,这不就像短跑比赛不提升自己反而给对手脚下放石头一样吗?而且现在苹果每年的新品都被别人诟病“挤牙膏”,但华为年年有技术创新,这可以说美国自己停滞不前,还不许别人进步,这是什么道理和逻辑?

再说说镓和锗这两种材料,说是减少外部依赖,这很难做到吧?又不是碳和铁,到处都是,依赖美国自给自足我个人认为不太现实,并且芯片方面,中国制造的芯片,基本上都是国内自销了,一颗不出口都不够用,哪还会出口。当然了,可以选择不进口搭载了中国芯片的产品,但全世界最大的电子产品生产基地就在中国,人力物力财力,美国和欧洲应该在目前也没有这么大的储备去做这件事,所以,这份申明的实施还是困难重重。

值得一提的是,美欧双方还承诺联手展开研究,寻找芯片中含氟表面活性剂(Per- and polyfluoroalkyl substances,简称PFAS)的替代品(这种化学物质不易分解,研究表明有害人体健康。)例如,计划探索利用人工智能能力和数字孪生来加速发现合适的材料来替代半导体制造中的PFAS。

目前,美国和欧盟都在寻求对PFAS的替代方案,通过立法、研究合作以及推动技术创新等多种方式,以减少这些化学品对环境和人类健康的影响。2024年2月29日,欧盟REACH委员会再次投票通过关于在REACH法规附录XVII中限制全氟己酸(PFHxA)及其盐和相关物质的提案,这表明欧盟对PFAS的管控进一步升级。

虽然美国的手段步步紧逼,但目前成熟半导体技术在新能源汽车、新一代通信技术、安防、云计算、智能家居、物联网、工业互联网、智能电网以及人工智能和大数据等多个领域都有广泛的应用。这些应用领域的快速发展,进一步推动了成熟制程芯片的需求增长。而以上应用领域也是中国比较具有优势的产业,可以实现产业内循环消化。

 我相信面对如此情景,中国一定有相应的应对措施,也希望中国在技术方面能够多一些像华为这种公司,在技术层面不断创新,打破国外垄断并凤凰涅槃!


]]>
http://www.amcfsurvey.com/article/202404/457922.htm Mon, 22 Apr 2024 16:08:24 +0800
<![CDATA[ 台积电彻底赌“赢”了,中芯做梦都想不到,“制裁”来得如此之快 ]]>

2022年8月,拜登政府推出了《芯片法案》,旨在推动美国芯片制造业回流。为此不惜计划拿出527亿美元,对赴美建厂的企业进行补贴扶持。正是在这样的情况之下,三星电子以及台积电等等,都陆续前往美国建厂。但是,等台积电真的开始在美国亚利桑那州等计划投资建厂后,美国所承诺的补贴迟迟未到。


不仅如此,事实上如果仔细看《芯片法案》的内容就会发现,里边隐藏着许多风险。比如其中规定,假如企业所获得的利润超过了一定额度,就要和美国政府进行分利。而且所分享的利润不会超过补贴的75%。

不仅有让利,另外还有一些附加的限制条件,比如不能在中国建厂,要提交详细的财报资料等。更让人气愤的是,在台积电和三星电子迟迟没拿到补贴的时候,英特尔居然率先拿到了补贴。

这对台积电来说简直是踩了一个大雷,为此台积电在美国建厂的计划也不得不向后推迟。但在赴美建厂的计划推迟之后,台积电并没有停止自己的脚步。又开始计划在台湾嘉义县建厂,除了台湾之外,台积电还前往日本熊本县修建工厂。

在今年2月份,台积电于日本熊本县修建的第1家工厂,举行了开幕仪式。并且决定在熊本县继续投资建设第2家工厂,不管是台积电还是日本政府都对这两家工厂非常重视,日方认为这是日本半导体行业的重要机会。

而且2023年第四季度开始,台积电的先进制程生产效率猛猛上涨,3nm制程节点收入直接上涨到15%,要知道原来只有6%。除此之外,4nm和5nm制程的产能利用率高达90%,由此可见,台积电的江湖地位还是非常牢固的。

所以这场在与美国之间的豪赌中,台积电似乎最终还是取得了胜利。在4月8日,台积电又宣布,将会在美国亚利桑那州投资建设第3座工厂。从这里大概也能推断出,台积电和美国之间的合作应该有了进一步的推进。

台湾的《联合报》也在4月9日的时候对此事进行报道,并且说台积电应该可以获得大约66亿美元的补助,还有最高50亿美元的贷款。所以从最终结果来看,台积电无论在美国还是在日本方面的建厂,总体上来讲,还是推进了下去。

相反在中芯国际这边,同行台积电的逆风翻盘当然会带来一定的压力,给中芯带来了额外的挑战。毕竟台积电逐渐扩大规模的时候,自然也会抢占中芯国际的市场份额。比如台积电在南京扩充的28nm芯片工厂,其生产的28nm芯片主要就是面向大陆市场。

在这样的局势之下,中芯国际所面临的压力自然可以想象。目前为止,中芯国际还是需要努力追赶世界主流半导体巨头的技术水平。但比较让人欣慰的是,现在国内的很多企业都开始倾向于使用国产替代进口,可以尽早摆脱依赖和控制。

这样其实也算间接地在保证了中芯国际的市场基本盘,有市场就有底气。中国作为世界上最大的半导体市场,这也是中芯国际持续发展的动力和资本之一。希望中芯国际可以早日突破技术瓶颈,在国际半导体产业中可以占据一席之地。


]]>

2022年8月,拜登政府推出了《芯片法案》,旨在推动美国芯片制造业回流。为此不惜计划拿出527亿美元,对赴美建厂的企业进行补贴扶持。正是在这样的情况之下,三星电子以及台积电等等,都陆续前往美国建厂。但是,等台积电真的开始在美国亚利桑那州等计划投资建厂后,美国所承诺的补贴迟迟未到。


不仅如此,事实上如果仔细看《芯片法案》的内容就会发现,里边隐藏着许多风险。比如其中规定,假如企业所获得的利润超过了一定额度,就要和美国政府进行分利。而且所分享的利润不会超过补贴的75%。

不仅有让利,另外还有一些附加的限制条件,比如不能在中国建厂,要提交详细的财报资料等。更让人气愤的是,在台积电和三星电子迟迟没拿到补贴的时候,英特尔居然率先拿到了补贴。

这对台积电来说简直是踩了一个大雷,为此台积电在美国建厂的计划也不得不向后推迟。但在赴美建厂的计划推迟之后,台积电并没有停止自己的脚步。又开始计划在台湾嘉义县建厂,除了台湾之外,台积电还前往日本熊本县修建工厂。

在今年2月份,台积电于日本熊本县修建的第1家工厂,举行了开幕仪式。并且决定在熊本县继续投资建设第2家工厂,不管是台积电还是日本政府都对这两家工厂非常重视,日方认为这是日本半导体行业的重要机会。

而且2023年第四季度开始,台积电的先进制程生产效率猛猛上涨,3nm制程节点收入直接上涨到15%,要知道原来只有6%。除此之外,4nm和5nm制程的产能利用率高达90%,由此可见,台积电的江湖地位还是非常牢固的。

所以这场在与美国之间的豪赌中,台积电似乎最终还是取得了胜利。在4月8日,台积电又宣布,将会在美国亚利桑那州投资建设第3座工厂。从这里大概也能推断出,台积电和美国之间的合作应该有了进一步的推进。

台湾的《联合报》也在4月9日的时候对此事进行报道,并且说台积电应该可以获得大约66亿美元的补助,还有最高50亿美元的贷款。所以从最终结果来看,台积电无论在美国还是在日本方面的建厂,总体上来讲,还是推进了下去。

相反在中芯国际这边,同行台积电的逆风翻盘当然会带来一定的压力,给中芯带来了额外的挑战。毕竟台积电逐渐扩大规模的时候,自然也会抢占中芯国际的市场份额。比如台积电在南京扩充的28nm芯片工厂,其生产的28nm芯片主要就是面向大陆市场。

在这样的局势之下,中芯国际所面临的压力自然可以想象。目前为止,中芯国际还是需要努力追赶世界主流半导体巨头的技术水平。但比较让人欣慰的是,现在国内的很多企业都开始倾向于使用国产替代进口,可以尽早摆脱依赖和控制。

这样其实也算间接地在保证了中芯国际的市场基本盘,有市场就有底气。中国作为世界上最大的半导体市场,这也是中芯国际持续发展的动力和资本之一。希望中芯国际可以早日突破技术瓶颈,在国际半导体产业中可以占据一席之地。


]]>
http://www.amcfsurvey.com/article/202404/457921.htm Mon, 22 Apr 2024 15:24:21 +0800
<![CDATA[ 消息称小米 IoT 设备全面适配接入澎湃 OS,eSIM 智能手表也在路上 ]]> IT之家 4 月 22 日消息,博主 @数码闲聊站 今日发帖称,小米手环 9 还有一段时间,IoT 这边全面适配接入澎湃 OS,产品打磨进度有所调整。小米 eSIM 智能手表迭代款也在路上,OLED 圆形表盘,额定电池容量设定到了 586mAh,主打长续航。

图片 3

IT之家此前报道,小米手环 9 近日现身阿联酋 TDRA 和印尼电信机构,其型号为 M2345B1,只是认证文件并未透露太多的规格信息。

IMG_256

IMG_256

小米上一款 eSIM 穿戴设备小米手表 S3 发布于 2023 年 10 月,该表的亮点在于“百变表圈”,支持随心拆换,更换表圈后,会自动匹配对应的表盘。

IMG_256


]]>
IT之家 4 月 22 日消息,博主 @数码闲聊站 今日发帖称,小米手环 9 还有一段时间,IoT 这边全面适配接入澎湃 OS,产品打磨进度有所调整。小米 eSIM 智能手表迭代款也在路上,OLED 圆形表盘,额定电池容量设定到了 586mAh,主打长续航。

图片 3

IT之家此前报道,小米手环 9 近日现身阿联酋 TDRA 和印尼电信机构,其型号为 M2345B1,只是认证文件并未透露太多的规格信息。

IMG_256

IMG_256

小米上一款 eSIM 穿戴设备小米手表 S3 发布于 2023 年 10 月,该表的亮点在于“百变表圈”,支持随心拆换,更换表圈后,会自动匹配对应的表盘。

IMG_256


]]>
http://www.amcfsurvey.com/article/202404/457913.htm Mon, 22 Apr 2024 14:48:46 +0800
<![CDATA[ Micron公司赢得了总额61亿美元的CHIPS补助 ]]> 美国参议员舒默表示,Micron公司赢得了总额61亿美元的CHIPS(Creating Helpful Incentives for Producing Semiconductors)补助,用于纽约中部和爱达荷州的项目。

华盛顿 - 据syracuse.com | The Post-Standard报道,美国参议员查尔斯·舒默和一位拜登政府高级官员表示,联邦政府已同意向Micron Technology提供61亿美元的补助,用于在纽约州锡拉丘兹北郊建设一座庞大的计算机芯片厂区。

舒默周三晚间表示,部分联邦资金还将支持Micron在爱达荷州博伊西总部的芯片工厂扩建,这是与美国商务部达成的初步、非约束性协议的一部分。

据一位高级政府官员告诉syracuse.com称,拜登总统计划在下周宣布该协议。

这项协议标志着Micron自2022年10月同意投资高达1000亿美元在Clay镇建设四座芯片制造厂的超级厂区以来的最重要里程碑。这将是纽约州历史上最大的单一私人投资。

“这是历史性的消息,是一次千载难逢的投资,”舒默称赞了这笔联邦补助,“这是纽约州历史上最大的单一直接联邦投资之一。中部纽约州的每个人都应该脸上洋溢着微笑。”

Micron公司的补助金额将是迄今为止CHIPS和科学法案向任何竞争资助的公司提供的第四大金额。

与联邦政府的协议意味着Micron可以毫不拖延地推进其雄心勃勃的计划,确保其将获得一个庞大的联邦援助包,而该公司表示它需要这笔援助来在美国建立任何工厂。

舒默表示:“几年前,我向Micron承诺,如果他们选择锡拉丘兹和纽约州上州,我将确保为他们提供他们需要的联邦资源,以确保他们的巨大愿景成为现实。”“对于所有对此表示怀疑的人来说,Micron在这里,Micron是真实的。”

Micron于去年8月向美国商务部申请补贴,以支持在Clay镇White Pine Commerce Park建设多达四个独立的制造厂(Fabs)。

该名高级政府官员称,将于下周宣布的61亿美元补助将支持Clay镇的前两个工厂的建设。这两个工厂计划在本十年末之前投入使用,预计花费480亿美元。

CHIPS计划的目标是到2030年在美国生产全球20%的半导体。

初步协议中还包括一笔未公开金额的资金,以支持在Micron爱达荷州博伊西总部建设一座价值150亿美元的芯片工厂,这位匿名的高级政府官员称。该官员表示,将于下周宣布的最终补助方案还可能包括联邦贷款。

Micron表示,公司将在20年内投资高达1000亿美元,用于在Clay镇建设和配备四座工厂,这标志着公司历史上最大的投资。

据Micron和州政府官员称,这些工厂将直接雇佣多达9000人,并在中部纽约州创造多达4万个供应链工作岗位。

Micron总裁兼首席执行官Sanjay Mehrotra表示,这项协议取决于公司获得数十亿美元的联邦补助。

Mehrotra表示,如果没有联邦补贴和投资税收抵免,Micron将不会同意在纽约甚至美国扩张。

该公司表示,美国的劳动力、生产和建设成本比亚洲要高得多,而Micron在亚洲拥有除两家之外的其11家工厂。

由参议员舒默推动的CHIPS和科学法案是为了把20%的世界半导体生产在2030年前搬回美国,作为国家安全的优先事项。这项激励基金包括为领先的芯片制造商提供280亿美元的资金。

联邦官员表示,他们正在努力尽可能地拉伸补贴资金以满足需求。制造商已申请了总额为700亿美元的补贴。

这是美国政府迄今为止为提振半导体行业所作的最大承诺。大部分世界上先进的、领先的芯片都是在海外制造的,主要是在台湾。

舒默表示,CHIPS法案的制定是考虑到纽约州上州等地曾经失去了成千上万工厂岗位,希望能够提振这样的地方,如锡拉丘兹。

到目前为止,联邦政府已经与其他六家公司达成了提供CHIPS补助和贷款的初步协议。

拜登总统于3月20日宣布了其中最大的一项交易。芯片巨头英特尔公司将获得最多85亿美元的补助和110亿美元的贷款,用于在四个州进行扩张。

英特尔承诺将投资1000亿美元,在亚利桑那州、俄亥俄州、新墨西哥州和俄勒冈州建设新的制造厂,并扩建或现代化其他制造厂。

本月早些时候,美国商务部宣布初步协议,为台积电在亚利桑那州投资66亿美元和三星电子在得克萨斯州建造芯片厂投资64亿美元提供支持。

今年2月,CHIPS计划同意向GlobalFoundries提供31亿美元的补助和贷款,用于扩建纽约州上州的计算机芯片工厂并现代化佛蒙特州的工厂。

根据美国商务部的初步、非约束性协议,GlobalFoundries将获得15亿美元的补贴和16亿美元的联邦贷款。

作为协议的一部分,GlobalFoundries承诺继续为每名员工提供每年1000美元的托儿补贴,并将这项福利延伸给建造新工厂的建筑工人。

其他两家公司签署了较小金额的CHIPS法案协议。

去年12月,联邦政府同意向BAE Systems提供高达3500万美元的激励,用于现代化其在新罕布什尔州的工厂。今年1月,Microchip Technology Inc.同意以约1.62亿美元的补贴支持其在科罗拉多州和俄勒冈州的工厂扩建。

美国商务部官员表示,这些芯片制造商不会像预付款一样收到补贴和贷款。这些资金将随着芯片制造商实现与建设和生产时间表相关的里程碑而逐步支付。

与美国商务部CHIPS计划办公室的秘密谈判超过六个月后,Micron的协议已经达成。

一位高级政府官员表示,与Micron等大型最先进芯片制造商的谈判每天都在进行。

即使现在,谈判仍远未完成。

美国商务部表示,计划聘请第三方顾问审查所有初步协议,并确定这对纳税人是否划算。

商务部CHIPS计划办公室拒绝透露将从政府外部聘请哪些专家来审查该协议。

如果专家团队批准,联邦官员将开始与Micron进行最终谈判。没有签订最终协议的截止日期。

Clay镇的Micron工厂将生产DRAM(动态随机存取存储器)芯片。全球供应的这种芯片只有大约2%是在美国制造的。

这种记忆芯片用于手机、电脑、汽车以及用于支持人工智能应用的服务器等物品。

Micron是提交CHIPS法案补贴和贷款申请的170家半导体公司之一。另有570家公司提交了对该激励计划的兴趣声明。

除了补贴和贷款外,芯片制造商还可以为新工厂的建设和装备费用申请联邦25%的投资税收抵免。

公司可以申请的税收抵免总额没有限制,但随着新工厂的建成,这笔资金可能很快就会用完。预计这项税收抵免计划将耗资约240亿美元。

这些税收抵免将作为公司完成新工厂建设和购买设备的年度发放。随着今天的协议,Micron现在已经达成了超过124亿美元的地方、州和联邦政府激励计划,用于扩大其在中部纽约的业务。

纽约州和奥农达加县提供的至少63亿美元的激励计划是自1980年以来由地方政府提供给公司的第二大补贴计划,根据位于华盛顿特区的Good Jobs First的一家监督团体。

该团体维护着一个跟踪政府向企业提供的补贴的数据库。唯一更大的激励计划是2013年在华盛顿州为飞机制造商波音提供的87亿美元。

为了吸引Micron,纽约州同意让该公司有资格从其Green Chips计划中获得高达55亿美元的就业税收抵免。

Micron将能够为其在该州建造的每座工厂每年的20年内每年获得高达5亿美元的税收抵免。

州长凯西·霍库尔周三晚间对Micron和州政府之间的所谓“变革性协议”的达成表示赞扬。

霍库尔表示:“我们将以一颗微芯片的方式振兴我们的上州经济。”

州政府还同意在White Pine Commerce Park进行2亿美元的道路和基础设施改造。此外,该州还同意为中部纽约州的5亿美元“社区基金”贡献1亿美元。

奥农达加县将提供2.75亿美元的激励措施。其中大部分资金——2亿美元——将用于升级污水处理厂,以处理Micron工厂的额外流量。

]]>
美国参议员舒默表示,Micron公司赢得了总额61亿美元的CHIPS(Creating Helpful Incentives for Producing Semiconductors)补助,用于纽约中部和爱达荷州的项目。

华盛顿 - 据syracuse.com | The Post-Standard报道,美国参议员查尔斯·舒默和一位拜登政府高级官员表示,联邦政府已同意向Micron Technology提供61亿美元的补助,用于在纽约州锡拉丘兹北郊建设一座庞大的计算机芯片厂区。

舒默周三晚间表示,部分联邦资金还将支持Micron在爱达荷州博伊西总部的芯片工厂扩建,这是与美国商务部达成的初步、非约束性协议的一部分。

据一位高级政府官员告诉syracuse.com称,拜登总统计划在下周宣布该协议。

这项协议标志着Micron自2022年10月同意投资高达1000亿美元在Clay镇建设四座芯片制造厂的超级厂区以来的最重要里程碑。这将是纽约州历史上最大的单一私人投资。

“这是历史性的消息,是一次千载难逢的投资,”舒默称赞了这笔联邦补助,“这是纽约州历史上最大的单一直接联邦投资之一。中部纽约州的每个人都应该脸上洋溢着微笑。”

Micron公司的补助金额将是迄今为止CHIPS和科学法案向任何竞争资助的公司提供的第四大金额。

与联邦政府的协议意味着Micron可以毫不拖延地推进其雄心勃勃的计划,确保其将获得一个庞大的联邦援助包,而该公司表示它需要这笔援助来在美国建立任何工厂。

舒默表示:“几年前,我向Micron承诺,如果他们选择锡拉丘兹和纽约州上州,我将确保为他们提供他们需要的联邦资源,以确保他们的巨大愿景成为现实。”“对于所有对此表示怀疑的人来说,Micron在这里,Micron是真实的。”

Micron于去年8月向美国商务部申请补贴,以支持在Clay镇White Pine Commerce Park建设多达四个独立的制造厂(Fabs)。

该名高级政府官员称,将于下周宣布的61亿美元补助将支持Clay镇的前两个工厂的建设。这两个工厂计划在本十年末之前投入使用,预计花费480亿美元。

CHIPS计划的目标是到2030年在美国生产全球20%的半导体。

初步协议中还包括一笔未公开金额的资金,以支持在Micron爱达荷州博伊西总部建设一座价值150亿美元的芯片工厂,这位匿名的高级政府官员称。该官员表示,将于下周宣布的最终补助方案还可能包括联邦贷款。

Micron表示,公司将在20年内投资高达1000亿美元,用于在Clay镇建设和配备四座工厂,这标志着公司历史上最大的投资。

据Micron和州政府官员称,这些工厂将直接雇佣多达9000人,并在中部纽约州创造多达4万个供应链工作岗位。

Micron总裁兼首席执行官Sanjay Mehrotra表示,这项协议取决于公司获得数十亿美元的联邦补助。

Mehrotra表示,如果没有联邦补贴和投资税收抵免,Micron将不会同意在纽约甚至美国扩张。

该公司表示,美国的劳动力、生产和建设成本比亚洲要高得多,而Micron在亚洲拥有除两家之外的其11家工厂。

由参议员舒默推动的CHIPS和科学法案是为了把20%的世界半导体生产在2030年前搬回美国,作为国家安全的优先事项。这项激励基金包括为领先的芯片制造商提供280亿美元的资金。

联邦官员表示,他们正在努力尽可能地拉伸补贴资金以满足需求。制造商已申请了总额为700亿美元的补贴。

这是美国政府迄今为止为提振半导体行业所作的最大承诺。大部分世界上先进的、领先的芯片都是在海外制造的,主要是在台湾。

舒默表示,CHIPS法案的制定是考虑到纽约州上州等地曾经失去了成千上万工厂岗位,希望能够提振这样的地方,如锡拉丘兹。

到目前为止,联邦政府已经与其他六家公司达成了提供CHIPS补助和贷款的初步协议。

拜登总统于3月20日宣布了其中最大的一项交易。芯片巨头英特尔公司将获得最多85亿美元的补助和110亿美元的贷款,用于在四个州进行扩张。

英特尔承诺将投资1000亿美元,在亚利桑那州、俄亥俄州、新墨西哥州和俄勒冈州建设新的制造厂,并扩建或现代化其他制造厂。

本月早些时候,美国商务部宣布初步协议,为台积电在亚利桑那州投资66亿美元和三星电子在得克萨斯州建造芯片厂投资64亿美元提供支持。

今年2月,CHIPS计划同意向GlobalFoundries提供31亿美元的补助和贷款,用于扩建纽约州上州的计算机芯片工厂并现代化佛蒙特州的工厂。

根据美国商务部的初步、非约束性协议,GlobalFoundries将获得15亿美元的补贴和16亿美元的联邦贷款。

作为协议的一部分,GlobalFoundries承诺继续为每名员工提供每年1000美元的托儿补贴,并将这项福利延伸给建造新工厂的建筑工人。

其他两家公司签署了较小金额的CHIPS法案协议。

去年12月,联邦政府同意向BAE Systems提供高达3500万美元的激励,用于现代化其在新罕布什尔州的工厂。今年1月,Microchip Technology Inc.同意以约1.62亿美元的补贴支持其在科罗拉多州和俄勒冈州的工厂扩建。

美国商务部官员表示,这些芯片制造商不会像预付款一样收到补贴和贷款。这些资金将随着芯片制造商实现与建设和生产时间表相关的里程碑而逐步支付。

与美国商务部CHIPS计划办公室的秘密谈判超过六个月后,Micron的协议已经达成。

一位高级政府官员表示,与Micron等大型最先进芯片制造商的谈判每天都在进行。

即使现在,谈判仍远未完成。

美国商务部表示,计划聘请第三方顾问审查所有初步协议,并确定这对纳税人是否划算。

商务部CHIPS计划办公室拒绝透露将从政府外部聘请哪些专家来审查该协议。

如果专家团队批准,联邦官员将开始与Micron进行最终谈判。没有签订最终协议的截止日期。

Clay镇的Micron工厂将生产DRAM(动态随机存取存储器)芯片。全球供应的这种芯片只有大约2%是在美国制造的。

这种记忆芯片用于手机、电脑、汽车以及用于支持人工智能应用的服务器等物品。

Micron是提交CHIPS法案补贴和贷款申请的170家半导体公司之一。另有570家公司提交了对该激励计划的兴趣声明。

除了补贴和贷款外,芯片制造商还可以为新工厂的建设和装备费用申请联邦25%的投资税收抵免。

公司可以申请的税收抵免总额没有限制,但随着新工厂的建成,这笔资金可能很快就会用完。预计这项税收抵免计划将耗资约240亿美元。

这些税收抵免将作为公司完成新工厂建设和购买设备的年度发放。随着今天的协议,Micron现在已经达成了超过124亿美元的地方、州和联邦政府激励计划,用于扩大其在中部纽约的业务。

纽约州和奥农达加县提供的至少63亿美元的激励计划是自1980年以来由地方政府提供给公司的第二大补贴计划,根据位于华盛顿特区的Good Jobs First的一家监督团体。

该团体维护着一个跟踪政府向企业提供的补贴的数据库。唯一更大的激励计划是2013年在华盛顿州为飞机制造商波音提供的87亿美元。

为了吸引Micron,纽约州同意让该公司有资格从其Green Chips计划中获得高达55亿美元的就业税收抵免。

Micron将能够为其在该州建造的每座工厂每年的20年内每年获得高达5亿美元的税收抵免。

州长凯西·霍库尔周三晚间对Micron和州政府之间的所谓“变革性协议”的达成表示赞扬。

霍库尔表示:“我们将以一颗微芯片的方式振兴我们的上州经济。”

州政府还同意在White Pine Commerce Park进行2亿美元的道路和基础设施改造。此外,该州还同意为中部纽约州的5亿美元“社区基金”贡献1亿美元。

奥农达加县将提供2.75亿美元的激励措施。其中大部分资金——2亿美元——将用于升级污水处理厂,以处理Micron工厂的额外流量。

]]>
http://www.amcfsurvey.com/article/202404/457912.htm Mon, 22 Apr 2024 14:48:25 +0800
<![CDATA[ 高通骁龙 X Plus X1P64100 处理器首次现身 Geekbench:6+4 十核规格、3.42GHz 基础频率 ]]> IT之家 4 月 22 日消息,高通骁龙 X Plus X1P64100 处理器首次现身 Geekbench ML 机器学习跑分,显示该处理器采用 6+4 的 10 核配置,基础频率 3417MHz。

跑分列表

测试机型使用骁龙 X Plus X1P64100 处理器、搭配 16GB 内存,两次跑分使用 Windows 11 Pro Insider Preview 版操作系统,分别使用 ONNX CPU 框架与 ONNX DirectML 框架对 CPU 与 GPU 的机器学习性能进行测试:

ONNX CPU 机器学习测试

▲ ONNX CPU 机器学习测试

ONNX DIrectML GPU 机器学习测试

▲ ONNX DIrectML GPU 机器学习测试

骁龙 X Plus X1P64100 处理器取得了 ONNX CPU 2410 分、ONNX DirectML 1903 分的成绩。跑分成绩更接近手机处理器,与桌面级处理器差距较大,代表性有限,仅供参考。

IT之家注意到,本次跑分揭露了骁龙 X Plus X1P64100 处理器的规格参数:10 核 Oryon CPU、6+4 核心配置,其基准频率与 X1E78100 相同,为 3.42GHz。

目前已经曝光的骁龙 X 系列 PC 处理器有以下型号:

  • Snapdragon X Elite - X1E84100(1021E0E1_53)

  • Snapdragon X Elite - X1E80100:12 核、4.01GHz(1021E0E1_40)

  • Snapdragon X Elite - X1E78100:12 核、3.42GHz(1021E0E1_41)

  • Snapdragon X Elite - X1E76100(1021E0E1_45)

  • Snapdragon X Plus - X1P64100:10 核、3.42GHz(1024E0E1_46)

  • Snapdragon X Plus - X1P62100(1024E0E1_47)

  • Snapdragon X Plus - X1P56100(102690E1_48)

  • Snapdragon X Plus - X1P40100

1021 / 1024 / 1026 代号的不同,可能代表不同核心规模的处理器。

联想 YOGA Slim 7 14 2024 骁龙版

▲ 联想 YOGA Slim 7 14 2024 骁龙版

联想 ThinkPad T14s Gen 6 骁龙版

▲ 联想 ThinkPad T14s Gen 6 骁龙版

目前已曝光将采用骁龙 X 系列处理器的电脑产品包括:

  • 微软 Surface Pro 10

  • 微软 Surface Laptop 6

  • 三星 Galaxy Book4 Edge

  • 联想 YOGA Slim 7 14 2024 骁龙版

  • 联想 ThinkPad T14s Gen 6 骁龙版

  • 惠普蜻系列商务本骁龙版 / OmniBook X 14 / EliteBook Ultra G1q 14X


]]>
IT之家 4 月 22 日消息,高通骁龙 X Plus X1P64100 处理器首次现身 Geekbench ML 机器学习跑分,显示该处理器采用 6+4 的 10 核配置,基础频率 3417MHz。

跑分列表

测试机型使用骁龙 X Plus X1P64100 处理器、搭配 16GB 内存,两次跑分使用 Windows 11 Pro Insider Preview 版操作系统,分别使用 ONNX CPU 框架与 ONNX DirectML 框架对 CPU 与 GPU 的机器学习性能进行测试:

ONNX CPU 机器学习测试

▲ ONNX CPU 机器学习测试

ONNX DIrectML GPU 机器学习测试

▲ ONNX DIrectML GPU 机器学习测试

骁龙 X Plus X1P64100 处理器取得了 ONNX CPU 2410 分、ONNX DirectML 1903 分的成绩。跑分成绩更接近手机处理器,与桌面级处理器差距较大,代表性有限,仅供参考。

IT之家注意到,本次跑分揭露了骁龙 X Plus X1P64100 处理器的规格参数:10 核 Oryon CPU、6+4 核心配置,其基准频率与 X1E78100 相同,为 3.42GHz。

目前已经曝光的骁龙 X 系列 PC 处理器有以下型号:

  • Snapdragon X Elite - X1E84100(1021E0E1_53)

  • Snapdragon X Elite - X1E80100:12 核、4.01GHz(1021E0E1_40)

  • Snapdragon X Elite - X1E78100:12 核、3.42GHz(1021E0E1_41)

  • Snapdragon X Elite - X1E76100(1021E0E1_45)

  • Snapdragon X Plus - X1P64100:10 核、3.42GHz(1024E0E1_46)

  • Snapdragon X Plus - X1P62100(1024E0E1_47)

  • Snapdragon X Plus - X1P56100(102690E1_48)

  • Snapdragon X Plus - X1P40100

1021 / 1024 / 1026 代号的不同,可能代表不同核心规模的处理器。

联想 YOGA Slim 7 14 2024 骁龙版

▲ 联想 YOGA Slim 7 14 2024 骁龙版

联想 ThinkPad T14s Gen 6 骁龙版

▲ 联想 ThinkPad T14s Gen 6 骁龙版

目前已曝光将采用骁龙 X 系列处理器的电脑产品包括:

  • 微软 Surface Pro 10

  • 微软 Surface Laptop 6

  • 三星 Galaxy Book4 Edge

  • 联想 YOGA Slim 7 14 2024 骁龙版

  • 联想 ThinkPad T14s Gen 6 骁龙版

  • 惠普蜻系列商务本骁龙版 / OmniBook X 14 / EliteBook Ultra G1q 14X


]]>
http://www.amcfsurvey.com/article/202404/457911.htm Mon, 22 Apr 2024 14:35:04 +0800
<![CDATA[ 美国商务部表示华为芯片并不是最新科技 ]]> 美国商务部长吉娜·M·雷蒙多(Gina M. Raimondo)周日表示,受制裁的中国公司华为的Mate 60 Pro手机所搭载的芯片并不像美国芯片那样先进,这表明美国对电信设备巨头出口限制的政策是有效的。

自2019年以来,华为一直被列入贸易限制名单,去年8月发布了一款由复杂芯片驱动的新手机,这令业界和美国政府感到惊讶。华为Mate 60 Pro被视为中国技术复兴的象征,尽管华盛顿一直在努力削弱其生产先进半导体的能力。

许多人认为这也是对正在访华期间发布的雷蒙多的轻视。但在接受CBS新闻的《60分钟》采访时,雷蒙多反驳了这种观点。

“这告诉我出口管制是有效的,因为那款芯片远不及我们在美国拥有的,……它比我们在美国拥有的先进芯片落后了几年,”她说。“我们拥有世界上最复杂的半导体。中国没有。”

多年来,华盛顿一直在努力剥夺北京先进的半导体芯片和制造这些芯片所需的工具,担心它们会被用于加强中国的军事能力。

华为作为这场科技战的象征,于2019年被加入所谓的实体清单,引发了美国供应商寻求向其出口的困难许可证的担忧。

但其供应商,包括英特尔在内,已获得价值数十亿美元的许可证,以继续向该公司销售。华为本月宣布首款由英特尔芯片驱动的AI智能笔记本电脑,引发了共和党对华强硬派的愤怒。

当被问及她是否对大企业采取足够强硬的态度时,雷蒙多非常肯定。

“我将会一样追究企业的责任,”她告诉莱斯利·斯塔尔(Lesley Stahl)在《60分钟》上的采访中。“当我告诉他们不能将他们的半导体卖给中国时,他们不喜欢那样,但我会这样做,”她补充道。

]]>
美国商务部长吉娜·M·雷蒙多(Gina M. Raimondo)周日表示,受制裁的中国公司华为的Mate 60 Pro手机所搭载的芯片并不像美国芯片那样先进,这表明美国对电信设备巨头出口限制的政策是有效的。

自2019年以来,华为一直被列入贸易限制名单,去年8月发布了一款由复杂芯片驱动的新手机,这令业界和美国政府感到惊讶。华为Mate 60 Pro被视为中国技术复兴的象征,尽管华盛顿一直在努力削弱其生产先进半导体的能力。

许多人认为这也是对正在访华期间发布的雷蒙多的轻视。但在接受CBS新闻的《60分钟》采访时,雷蒙多反驳了这种观点。

“这告诉我出口管制是有效的,因为那款芯片远不及我们在美国拥有的,……它比我们在美国拥有的先进芯片落后了几年,”她说。“我们拥有世界上最复杂的半导体。中国没有。”

多年来,华盛顿一直在努力剥夺北京先进的半导体芯片和制造这些芯片所需的工具,担心它们会被用于加强中国的军事能力。

华为作为这场科技战的象征,于2019年被加入所谓的实体清单,引发了美国供应商寻求向其出口的困难许可证的担忧。

但其供应商,包括英特尔在内,已获得价值数十亿美元的许可证,以继续向该公司销售。华为本月宣布首款由英特尔芯片驱动的AI智能笔记本电脑,引发了共和党对华强硬派的愤怒。

当被问及她是否对大企业采取足够强硬的态度时,雷蒙多非常肯定。

“我将会一样追究企业的责任,”她告诉莱斯利·斯塔尔(Lesley Stahl)在《60分钟》上的采访中。“当我告诉他们不能将他们的半导体卖给中国时,他们不喜欢那样,但我会这样做,”她补充道。

]]>
http://www.amcfsurvey.com/article/202404/457910.htm Mon, 22 Apr 2024 14:24:47 +0800