首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 新思科技

新思科技 文章 进入新思科技技术社区

新思科技发布业界首款全栈式AI驱动型EDA解决方案Synopsys.ai

  • 摘要:●   Synopsys.ai可为芯片设计提供AI驱动型解决方案,包含数字、模拟、验证、测试和制造模块。o   AI引擎可显著提高设计效率和芯片质量,同时降低成本。●   英伟达(NVIDIA)、台积公司(TSMC)、IBM、联发科(MediaTek)和瑞萨电子(Renesas)均对新思科技的AI驱动型EDA设计策略表示支持,并已利用Synopsys.ai解决方案取得显著成果:o 瑞萨电子在减少功能覆盖盲区方面实现了10倍优化,并
  • 关键字: 新思科技  全栈式  AI驱动型EDA解决方案  Synopsys.ai  

新思科技:车联网产业的起点是安全

  • 全球正在经历新一轮科技革命和产业变革,汽车智能化、网联化、电动化和共享化的发展趋势日益显著,智能网联汽车的市场规模预计将会逐步提高。车联网产业链条长,不只是关乎制造业,还涉及到软件、通信领域的融合应用,对于促进相关产业的协同创新具有重要战略意义。车联网安全存在“木桶效应”,软件供应链任何一环、用户侧手机应用、云服务等出现薄弱点,那驾乘人员和厂商的安全都将受到威胁。在中国,融合创新的车联网产业生态基本形成,相关领域通力合作,以实现车与车、云、人、路的互联。其中,安全是智能网联汽车发展过程中不可撼动的底座,是
  • 关键字: 新思科技  车联网  

新思科技发布《2023年开源安全和风险分析》报告

  • 开源代码已经深深扎根在现代软件开发之中,甚至代码拥有者通常都不知道自己的软件中包含开源组件。开源管理不到位,可能会给企业造成负面舆论,甚至导致经济损失。尤其在并购交易中,双方务必要及早了解目标代码库中的潜在开源风险、安全漏洞和代码质量问题。尽管2022年经济走势不明朗,科技领域的并购也相应放缓,但经过新思科技审计的代码库数量依然可观。新思科技(Synopsys, Nasdaq: SNPS) 近日发布了《2023年开源安全和风险分析》报告(2023 OSSRA)。该报告是OSSRA的第八个版本,由
  • 关键字: 新思科技  开源安全  

新思科技DSO.ai助力客户完成100次流片,引领AI在芯片设计中的规模化应用

  • 屡获殊荣的新思科技DSO.ai解决方案通过大幅提高芯片设计效率、性能和云端扩展性,助力客户实现新突破摘要:新思科技携手芯片设计生态系统,通过DSO.ai率先实现100次流片,覆盖一系列前沿应用和不同先进工艺节点意法半导体首次使用云端人工智能设计实现流片,通过DSO.ai以3倍的设计效率达成更高的性能、功耗、面积 (PPA)目标SK海力士成功将其先进工艺裸晶芯片尺寸缩减高达5%新思科技DSO.ai能够通过强化学习,在巨大的求解空间中优化PPA,可节省数月的人工工作量加利福尼亚州山景城,2023年2月10日
  • 关键字: 新思科技  AI  

新思科技DSO.ai助力客户完成100次流片,引领AI在芯片设计中的规模化应用

  • 摘要:●   新思科技携手芯片设计生态系统,通过DSO.ai率先实现100次流片,覆盖一系列前沿应用和不同先进工艺节点●   意法半导体首次使用云端人工智能设计实现流片,通过DSO.ai以3倍的设计效率达成更高的性能、功耗、面积 (PPA)目标●   SK海力士成功将其先进工艺裸晶芯片尺寸缩减高达5%●   新思科技DSO.ai能够通过强化学习,在巨大的求解空间中优化PPA,可节省数月的人工工作量新思科技(Synopsys,
  • 关键字: 新思科技  DSO.ai  AI  

新思科技:软件安全之旅需要借助“他山之石”

  • 随着全球数字化转型的不断深入,软件安全也迎来了高速发展期。只有建立可信、健全的软件安全保障体系,才能筑牢数字经济的网络安全屏障。中国正在把发展经济的着力点放在实体经济上,加快建设网络强国、数字中国。同时,数字经济与各种产业叠加,赋予数字化力量,可以提升实体经济的产业优势,促进产业迈向高质量。对此,新思科技强调,数字赋能,安全先行。把安全贯穿在数字经济发展的全过程,才能行稳致远。软件安全不会一蹴而就,而是一个旅程,需要借鉴“他山之石”,取长补短。当然,他人的经验并非都有普适性。虽然出发点是好的,但是如果采纳
  • 关键字: 新思科技  软件安全  

新思科技解读2023年软件安全行业六大趋势

  • 数字化转型如火如荼,负责网络安全和风险管理的团队也肩负起更多责任。同时,远程办公以及云上的数字业务运营也给带来了新威胁。 提升网络安全或者软件安全已经不再是单纯的技术挑战,自上而下的安全意识和文化也不可或缺。2023年,安全威胁形式依然复杂,软件供应链风险愈加受到关注。在数字经济时代,软件安全与客户信任及业务增长之间的关联度更高,因为软件风险已经等同于业务风险。新年伊始,新思科技与大家分享观察到的行业趋势,希望能够帮助企业推动数字化转型或者制定软件安全计划的时候,做出更加明智、有针对性的决策。&
  • 关键字: 新思科技  软件安全行业  

新思科技发布《2022年软件漏洞快照》报告

  • 95%的应用存在漏洞,其中25%受到严重或高风险漏洞影响 软件是大多数企业与客户交互的方式。很明显,企业不仅应该使用静态分析和软件组成分析工具来测试web应用中的常见缺陷、漏洞和错误配置;而且还应该以攻击者探测它们的方式来测试其正在运行的web应用。全方位的应用安全测试是当今世界管理软件风险的重要手段之一。 新思科技(Synopsys, Nasdaq: SNPS)近日发布了《2022年软件漏洞快照》报告。该报告审查了对 2,700多 个目标软件进行的 4,300 多次安全测试
  • 关键字: 新思科技  软件漏洞  

新思科技助力Linx Printing公司加速落实代码“零缺陷”

  • 对于基于软件进行运营来说,软件风险等同于业务风险。如果软件存在缺陷,导致无法连续运作,对企业的业务影响甚广。因此,从软件开发生命周期 (SDLC) 的早期就解决安全和质量缺陷,对构建可信软件至关重要。Linx Printing Technologies在英国剑桥郡,历史可以追溯到1987年。经过30多年的发展,该公司的喷码与标识设备已经销往全球90多个国家及地区。挑战:帮助Linx开发人员构建更高质量的代码Omara Williams是Linx公司软件工程经理,领导嵌入式软件团队,负责Linx产品上运行的
  • 关键字: 新思科技  Linx Printing  代码“零缺陷”  

新思科技连续12年获台积公司“OIP年度合作伙伴”,携手引领芯片创新

  • 摘要:·   新思科技连续12年被评为“台积公司OIP年度合作伙伴”·   该合作推动了多裸晶芯片系统的发展和先进节点设计·   奖项涵盖数字和定制设计、IP、以及基于云的解决方案·   推出毫米波(mmWave)射频(RF)设计流程是双方合作中的亮点之一加利福尼亚州山景城,2022年12月14日 -- 新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)近日宣布,连续第12年被评选为“台积公司OIP开放创新平
  • 关键字: 新思科技  台积  OIP年度合作伙伴  

新思科技:构建可信软件不容忽视开源组件和依赖管理

  • 开源已经成为软件行业的趋势。然而,由于开源使用的便捷性,有些企业可能会忽略其带来的风险。开源组件和依赖管理对于软件安全性和可信性来说极其重要。 开源软件无处不在。无论在什么行业,每个企业都需要依赖软件来满足其业务需要。而且,企业构建和使用的大多数应用程序都包含了开源代码。随着各行各业迁移至云原生应用以及应用程序越来越复杂,软件的安全风险也随之增长。企业需要在其软件开发生命周期(SLDC)中实施开源依赖最佳实践,并选择正确的工具来管理其开源风险。新思科技指出对开发人员进行开源安全培训和部署强大的软
  • 关键字: 新思科技  可信软件  开源组件  

新思科技携手三星,提升3nm移动、HPC和AI芯片设计PPA

  • 加利福尼亚州山景城2022年11月4日 /美通社/ -- 新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)近日宣布,在双方的长期合作中,三星晶圆厂(以下简称"三星")已经通过新思科技数字和定制设计工具和流程实现了多次成功的测试流片,从而更好地推动三星的3纳米全环绕栅极(GAA)技术被采用于对功耗、性能和面积(PPA)要求极高的应用中。此外,新思科技还获得了三星的"最先进工艺"认证。与三星SF5E工艺相比,采用三星晶圆厂SF3技术的共同客户将实现功
  • 关键字: 新思科技  三星  HPC  AI芯片  PPA   

新思科技推出突破性ECO解决方案PrimeClosure,助力设计效率提升10倍

  • 近日,新思科技(Synopsys, Inc.)宣布推出突破性的黄金签核ECO解决方案,旨在解决工程设计收敛时间过长的问题,从而提高先进电子设计效率,实现更佳功耗、性能和面积(PPA)目标。新思科技PrimeClosure解决方案将行业领先的ECO签核解决方案——新思科技PrimeECO™和新思科技Tweaker™ ECO——与多种突破性的创新技术相结合,实现更快的ECO收敛时间,同时兼顾高容量和PrimeTime®黄金签核精度。与传统的ECO流程相比,早期客户采用PrimeClosure解决方案
  • 关键字: 新思科技  ECO解决方案  PrimeClosure  

新思科技芯片生命周期管理再升级,加速数据传输并显著缩短测试时间

  • 新思科技(Synopsys, Inc.,)近日推出了一项创新的流结构技术(Streaming fabric technology),能够将芯片数据访问和测试的时间最高缩短80%,并极大程度地降低极限功耗,从而支持日益复杂的大型设计中芯片健康监测的实时分析。作为新思科技芯片生命周期管理流程的一部分,该创新的流结构是一种独特的片上网络,由新思科技TestMAX® DFT可测性设计工具生成,可以快速地将芯片数据传输到多个设计块和多裸晶芯片系统中,显著缩短了测试和分析芯片整体健康状况以发现异常和故障的时间
  • 关键字: 新思科技  芯片生命周期管理  数据传输  测试时间  

新思科技推出业内首款硬件仿真与原型验证统一系统

  •  新思科技近日宣布推出业内首款基于其ZeBu® EP1硬件仿真系统的硬件仿真与原型验证统一硬件系统,致力为SoC验证和前期软件开发提供更高水平的性能和灵活性。新思科技ZeBu EP1是业内领先的十亿门级硬件仿真系统,添加原型验证功能后,客户可借助此单一硬件系统满足整个芯片开发周期的验证需求。新思科技系统设计事业部研发高级副总裁Rohit Vora表示: "随着软件内容和硬件复杂性的持续增加,SoC开发团队一直在寻求更高、更快的仿真与原型验证能力,以实现硬件验证和软件开发目标。新思科技Z
  • 关键字: 新思科技  硬件仿真  原型验证  
共102条 1/7 1 2 3 4 5 6 7 »

新思科技介绍

您好,目前还没有人创建词条新思科技!
欢迎您创建该词条,阐述对新思科技的理解,并与今后在此搜索新思科技的朋友们分享。    创建词条

热门主题

新思科技    树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473